库存清单24
来源:    发布时间: 2019-11-04 12:55   14613 次浏览   大小:  16px  14px  12px

 

P/N / Description Qty
Neslab ThermoFlex 900 Thermo Fisher 101121010000000 Chiller Not Working As-Is 1
Evans PW-08-EZMF-GVPS-P1-PT Manual Temperature and Pressure Gauge Used 1
Swagelok SS4BMG Metering Bellow-Sealed Valve Lot of 3 Used Working 3
Swagelok SS-45S8 40 Series Manual Ball Valve Lot of 2 Used Working 5
Evans NB-GL-8C-200-X Integrated Manual Regulator Valve Lot of 2 Used 1
Tescom 44-3213H282-296 Manual Pressure Regulator Brass 44-3200 Used Working 9
Evans NB-GL-8C-200-XX Integrated Manual Regulator Valve Manifold Used Working 1
Evans NB-GL-8C-200-XX-IA Integrated Manual Regulator Valve Manifold Used Working 7
Evans Components NB-GL-8C-200-X Integrated Manual Regulator Valve Manifold Used 1
Evans Components NB-GL-8C-200-X Integrated Manual Regulator Valve Manifold Used 3
Edwards 4 Channel Exhaust and Pressure Regulator Control Box Used Working 1
Lambda LRS-52M-5 Regulated DC Power Supply Used Working 4
Lambda LRS 52M-5 DC Regulated Power Supply Reseller Lot of 5 Used Working 4
Lambda LRS 54M-24 DC Regulated Power Supply Reseller Lot of 4 Used Working 5
Lambda LNS-X-50-OV DC Regulated Power Supply Reseller Lot of 6 Used Working 1
Lambda LDS-X-15 DC Regulated Power Supply Used Working 4
Daihen AGA-50B2-V RF Generator DGP-120A2 TEL 3D80-001479-V2 Used Tested Working 1
Daihen HFA-30A-V RF Match TEL Tokyo Electron 3D80-000158-V3 Used Working 2
Hitachi 568-5589 PASW PCB Card S-9300 SEM Used Working 1
Pall DDF1UG001ENP44 Disposable Filter Assembly E00013393 Reseller Lot of 6 New 1
AMAT Applied Materials 0190-07679 Control Station Interface Specification Used 2
AMAT Applied Materials 0190-07679 Control Station Interface Specification Used 1
Hitachi 560-5546 Hitachi S-9300 AMHS IO Card Used Working 1
Novellus 73-0068 GSNX New Elevator Alignment Tool Left Side Pin GaSonics Used 1
Edwards NRY0DN000 Pump Control Enclosure Rev. E NRY0DN101 Eason Alarm Used 1
Diagnostic Instruments HRD076-NIK High Resolution Digital F-Mount Adapter Used 1
Sony DXC-970MD 3CCD Color Video Camera Power HAD Used Working 1
SDS V-DSP240/SP Hitachi S-9300 Interface Card SDS-9725 Used Working 1
TEL Tokyo Electron Set-Up Fixture 200.5 Lot of 2 ACT8 200mm Used Working 1
Horiba STEC SEF-8240SM-UC Mass Flow Meter Assembly VC-1410-UC BTBAS Used Working 1
Nemic-Lambda EWS600P-24 Power Supply 600 Watt Used Working 1
Edwards W65531611 Barocel Pressure Sensor Transducer 100 Torr Used Tested 21
Edwards W65541611 Barocel Pressure Sensor Transducer 100 Torr Used Tested 7
Melec C-820A Hitachi S-9300 Control Board working 1
Omron H3CR-A Multifunction Timer P2CF-11 Reseller Lot of 4 Used Working 1
KoMiCo S31A-GD-010-A GDP 1.0mm Plate 500080005 Used Working 1
M.E.C. Tech MEC30512-1266TR Process Plate Copper Exposed Used Working 1
Parker CPHX-DRIVE Indexer Driver Compumotor Plus Used Working 1
Hitachi 560-5512 Control Rack NMEM Card S-9300 Used Working 1
Mykrolis PCL0302E6 Cartridge Filter 0.3µm Planargard CL Reseller Lot of 6 New 2
C&H Enterprises 15-289073-00 Blankoff Top Plate WCVD Copper New 1
Edwards W655-21-611 Barocel Pressure Sensor Transducer 10 Torr Used Tested 2
Hamamatsu R446 Photomultiplier Tube with C956-04 Power Socket Used Working 1
HItachi 568-5559 Control Rack PS Disp Card S-9300 Used Working 1
AMAT Applied Materials 0010-77795 High Precision Spray Bar Assembly New 1
SMC 72-252832-00 Slit-Valve Actuator Blank-Off Plate Used Working 1
AMAT Applied Materials 0020-26721 Transfer Mount Ball Rev. 003 New 1
Parker 208195 NC Slit Valve Door New 1
XP Power F4A3A4A6 Power Supply XPiQ Used Working 1
Edwards NRY0DN000 Pump Control Enclosure Rev. M NRY0DN101US-A Eason Alarm Used 1
AEG DAP 218/AS-BDAP-218 Modicon PLC Secondary Rack DAP 208/AS-BDAP-208 Used 1
Qualidyne 10FC00A-0154 Switching Power Supply 10099 876-5032-00 Used Working 1
TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Rev. 7E Lithius IRAM Used 2
TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Rev. 7E Lithius IRAM Used 1
TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Rev. 7E Lithius IRAM Used 7
TEL Tokyo Electron HA-017 BOARD IF FN #01 Rev. LC Lithius IRAM Used Working 2
TEL Tokyo Electron HA-017 BOARD IF FN #01 Rev. LC Lithius IRAM Used Working 1
TEL Tokyo Electron HA-017 BOARD IF FN #01 Rev. LC Lithius IRAM Used Working 10
Omron G9SC-120-TE2 RY MTR #02 PCB Rev. MF TEL Tokyo Electron Lithius IRAM Used 2
Omron G9SC-120-TE2 RY MTR #02 PCB Rev. MF TEL Tokyo Electron Lithius IRAM Used 1
Omron G9SC-120-TE2 RY MTR #02 PCB Rev. MF TEL Tokyo Electron Lithius IRAM Used 1
AMAT XR80 Card Chassis Assy. 0090-91694 ITL working 3
NSK E0443ZZIF1-011A PCB TH-I/F TEL Tokyo Electron Lithius IRAM Used Working 2
NSK E0443ZZIF1-011A PCB TH-I/F TEL Tokyo Electron Lithius IRAM Used Working 1
NSK E0443ZZIF1-011A PCB TH-I/F TEL Tokyo Electron Lithius IRAM Used Working 9
TEL Tokyo Electron SPC-T0046A-11 Board IF STP #02 TOB1046 Lithius IRAM Used 2
TEL Tokyo Electron SPC-T0046A-11 Board IF STP #02 TOB1046 Lithius IRAM Used 1
TEL Tokyo Electron SPC-T0046A-11 Board IF STP #02 TOB1046 Lithius IRAM Used 9
TEL Tokyo Electron ICPL Interface Block Chill Plate Process Station Lithius Used 1
TEL Tokyo Electron ICPL Interface Block Chill Plate Process Station Lithius Used 2
TEL Tokyo Electron ICPL Interface Block Chill Plate Process Station Lithius Used 10
TEL Tokyo Electron IRAM Pneumatic Manifold Interface Block Lithius Used Working 2
TEL Tokyo Electron IRAM Pneumatic Manifold Interface Block Lithius Used Working 1
TEL Tokyo Electron IRAM Pneumatic Manifold Interface Block Lithius Used Working 13
TEL Tokyo Electron IPC-T0053A-11 Photo Resist Switch Panel Lithius Used Working 1
TEL Tokyo Electron IPC-T0053A-11 Photo Resist Switch Panel Lithius Used Working 16
TEL Tokyo Electron MPC-T0048A-11 10 MTR #02 Rev. 7A TOB1048 HA-016 Lithius Used 2
TEL Tokyo Electron MPC-T0048A-11 10 MTR #02 Rev. 7A TOB1048 HA-016 Lithius Used 1
TEL Tokyo Electron MPC-T0048A-11 10 MTR #02 Rev. 7A TOB1048 HA-016 Lithius Used 7
AMAT Applied Materials 9091-01393 Loop Controller PXP41W Used Working 1
Komatsu Electronics 20001873 Thermo Module Controller RCC-300 Lithius Used 1
Komatsu Electronics 20001873 Thermo Module Controller RCC-300 Lithius Used 1
Komatsu Electronics 20001873 Thermo Module Controller RCC-300 Lithius Used 2
Komatsu Electronics 20001873 Thermo Module Controller RCC-300 Lithius Used 7
TEL Tokyo Electron 300mm Wafer Shuttle IRAM Interface Block Lithius Used Working 1
TEL Tokyo Electron 300mm Wafer Shuttle IRAM Interface Block Lithius Used Working 2
TEL Tokyo Electron 300mm Wafer Shuttle IRAM Interface Block Lithius Used Working 10
Mitsubishi SD-Q19 Magnetic Contactor Reseller Lot of 4 TEL Lithius Used Working 4
Mitsubishi NF50-SWU TEL Lithius Circuit Breaker Set 50A 30A 15A Lot of 5 Used 1
Panasonic MADCT1503 AC Servo TEL Tokyo Electron 5080-192752-11 Lithius IRAM Used 8
Panasonic MDDCT5316 AC Servo TEL Tokyo Electron 5080-192753-11 Lithius IRAM Used 9
Novellus 73-0068 GSNX New Elevator Alignment Tool Right Side Pin GaSonics Used 1
Komatsu 20001920 Controller MCU-02TM TEL Lithius Interface Block IRAM Used 1
TEL Tokyo Electron HA-017 PCB BOARD IF FN #01 Used Working 30
TEL Tokyo Electron HA-017 PCB BOARD IF FN #01 Used Working 2
NSK 51161-802-001 Interface Block Robot Assembly TEL Tokyo Electron Lithius Used 3
Cambridge Fluid Systems 0010-00888 Gas Panel Working 1
Cambridge Fluid Systems 0010-00888 Gas Panel Working 1
Cambridge Fluid Systems 0010-00888 Gas Panel Working 2
Cambridge Fluid Systems 0010-00888 Gas Panel Working 1
NSK ESB-SSB020A23F2-02 Robot Servo Drive TEL 5080-192754-11 Lithius Used Working 10
TEL Tokyo Electron 5080-192750-12 X-Axis Upper IRAM XY-HS0026-802 Lithius Used 1
TEL Tokyo Electron 5080-192749-12 X-Axis IRAM XY-HS0051-804-001 Lithius Used 1
NSK M-SSB020FN502 Robot Servo Motor TEL 5080-192472-12 Lithius Used Working 1
NSK 51161-802-001 IRAM X-Axis Robot Base Assembly 5080-192466-11 Lithius Used 1
SMC INR-244-272B Power Supply Assembly 2TP-1B861 TEL Tokyo Electron Lithius Used 2
SMC INR-244-272B Power Supply Assembly 2TP-1B861 TEL Tokyo Electron Lithius Used 4
SMC INR-244-272 Power Supply Assembly 2IP-0C203 TEL Tokyo Electron Lithius Used 26
TEL Tokyo Electron 5087-403670-12 Interface Block Robotic Track Set Lithius Used 2
MKS Instruments AS00124-01 MicroNode I/O Module Rev. A Used Working 1
MKS Instruments AS00121-01 MicroNode I/O Module Rev. A Used Working 1
Granville-Phillps 275262 Vacuum Convectron Gauge 275 Lot of 2 Used Working 4
Eastek 36-0428 Power Supply PCB P1228-PWR-208 Used Working 1
Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch Used Working 1
Varian E1000 Vent Valve Control 9699831S001 E37000105 1
Valcom RN-760Torr-2VAO-4TL7 Pressure Switch Used Working 2
Granville-Phillips 352001 Gauge Controller 112 Used Working 2
Granville-Phillips 352016 Gauge Controller Series 352 Rev. A Used Working 1
Granville-Phillips 20352001 Gauge Controller 112 Used Working 1
Granville-Phillips 20352001 Gauge Controller Series 352 Used Working 1
Watlow DC80-24C0-0000 Solid State Power Control DIN-a-mite Used Working 1
Watlow DC10-20L5-0000 Solid State Power Control DIN-a-mite Used Working 1
Hitachi HT98310 PS Card PCB Reseller Lot of 2 Used Working 3
Granville-Phillips 307130 Vacuum Gauge Controller 307 Used Working 1
Yamatake CMC10ACL000 Control & Communication Link CMC10 CC-Link Used Working 3
Yamamoto EMP2SD210D Manometer Manosys EM-100 Receiver Used Working 2
Yamamoto EMP2SD210D Manometer Manosys EM-100 Receiver Used Working 1
Yamamoto EMP35D010D Manometer Manosys EM-100 Receiver Lot of 3 Used Working 1
Load Controls Incorporated PH-3A Power Cell Power Transducer Used Working 1
Omron G32A-A40-VD Power Device Cartridge Reseller Lot of 6 Used Working 1
Omron E5EJ-A2H02 Digital Temperature Controller Used Working 1
Omron E5EJ-A2HB Digital Temperature Controller Used Working 1
Saginomiya LNE-ZN20-010 Pulse Converter Used Working 1
Saginomiya LNE-ZN20-010 Pulse Converter Used Working 9
AMAT Applied Materials 0150-09200 RF Cable 55 Foot 16.7m Used Working 1
JAI CV-A1 Progressive Scan Camera Tamron 1:1.4 Used Working 1
Yamamoto EMT1B0FVD Manosys Differential Pressure Transmitter 1B0FVD Used Working 2
Modus Instruments DA-4-05M-0-RR-15-114 Display Alarm Lot of 2 Used Working 1
Zellweger Analytics 2414-0017 Non-Intrusive Calibrator Digi-Cat Gauge Rev 7 Used 1
Horiba Stec SEF-8240SM-UC Mass Flow Meter Assembly SMT-8000 BTBAS Used Working 1
AP Tech AP1810SM Pressure Regulator Valve 002-17070-000 Used Working 2
Screen SL-2210-FC-Z Network Control Module Used Working 1
Screen SL-2210-FC-Z Network Control Module Used Working 2
MKS Instruments 103250028 Pirani Vacuum Transducer 325 Moducell Used Working 7
Malema USC-721-11 Ultrasonic Flowmeter USC-721 Converter Used Working 1
KAHN 75-1514-10 Ceramic Digital OEM Hygrowmeter Used Working 1
AMAT Applied Materials 0010-41466 INERT SLD NEON CDS Reseller Lot of 10 New 1
THK KR2602A+111L0E-100B Linear Slide and Coupling AMAT 0190-34122 New 1
Johnson Controls A350AA-1 S350AA-1 D350AA-1 Temperature Control Lot of 7 Used 1
Allen-Bradley 440R-B2J020 Saftey Relay MSR5T Guardmaster Lot of 2 Used Working 2
Zellweger Sieger 00705-A-1733 Sensor Assembly Used Working 2
AP Tech AP1010SM Pressure Regulator Valve Used Working 1
Tescom 449-265-0RR9 Manual Pressure Regulator Valve Used Working 1
Evans Components NB-GL-8C-200-X-IA Integrated Manual Regulator Manifold Used 1
Yamatake C25TV0UD1200 Single Loop Controller SDC25 Used Working 1
KLA-Tencor 0055425-000 MFC Remote Assembly AIT UV Darkfield Used Working 1
KLA-Tencor 0055425-000 MFC Remote Assembly AIT UV Darkfield Used Working 1
Federal Pioneer MGFR-1-ZB Ground Fault Relay PRO-DEC-TOR mgfr Used Working 1
Pisco DMM-300 Fiber Dry Pneumatic Air Dryer Used Working 3
A-B Allen-Bradley 100-A60N*3 Contractor GD013 Lot of 2 Used Working 1
Farnell Instruments NA200P300/B Power Supply Used Working 1
Granville-Phillips 307130 Vacuum Gauge Controller 307 Cover Damage Used Working 1
Acopian URB34GT150 Regulated Power Supply Used Tested Working 1
Acopian 51515T6A Triple Output Power Supply Used Tested Working 2
TDK RKW24-27R Switching Power Supply Used Working 2
TDK FAW24-6R0 Power Supply Reseller Lot of 5 Used Working 1
TDK FAW12-2R1 Power Supply Reseller Lot of 5 Used Working 1
Cosel 5230388PR Power Supply P30E-12 Lot of 2 Used Working 1
Telemecanique ABL8REM24030 Power Supply Lot of 2 Used Working 1
Nagano Keiki GC84-1D9 Digital Indicator Lot of 3 Used Working 1
Copal Electronics PZ-200 Pressure Indicator Used Working 1
Ham-Let H-700-SS-L-1"-T-LD Manual Valve Reseller Lot of 4 Used Working 1
SensArray 50-2030-01 Resistance Calibration Standard RTD Module 1000O New 1
SensArray 50-2330-02 Resistance Calibration Standard RTD Module 1375O New 1
Shindengen FYX131/62P-BDDd Switching Power Supply 8570082 Used Tested Working 1
SensArray 50-1819 Adapter RTD Module New 1
Omron G3NE-210T Solid State Relay Y92B-N50 Heat Sink Reseller Lot of 5 Used 1
Fuji Electric BRRIIN-01S Earth Leakage Relay Used Working 4
Rudolph Technologies A16108 HIGH PASS/C Board PCB Rev. B Used Working 1
SMC NCDQ2B100-10D Double Action Pneumatic Cylinder Reseller Lot of 3 Used 1
Radisys 63-0050-02 Processor Module 100MHz VMEBUS EPC-5A EXM-13A Used Working 1
A-B Allen-Bradley 61-0255-40 Processor Module PLC-5/V30B VME-5/30 Used Working 1
A-B Allen-Bradley 61-0255-32 Processor Module PLC-5/V30B VME-5/30 Used Working 1
VMIC 332-000113-427 D VMEbus Processor Card VMIVME 2532A Used Working 2
Yamatake SDU10T0100 Temperature Controller SDU10 Reseller Lot of 3 Used 1
TEL Tokyo Electron TEB004-22 GOHUB Comms Module EC80-000184-22 Lithius Used 2
TEL Tokyo Electron TEB004-22 GOHUB Comms Module EC80-000184-22 Lithius Used 15
Lam Research 810-17004-001 Solenoid Interlock PCB Board Rev. D Used Working 2
Panasonic MBDCT1507 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used 14
Panasonic MBDCT1507 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used 2
Panasonic MBDCT1507B02 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used 13
Panasonic MBDCT1507B02 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used 2
Panasonic MCDCT3312 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used 2
Panasonic MCDCT3312 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used 13
Panasonic MBDCT1503 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used 4
Panasonic MBDCT1503 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used 13
Panasonic MBDCT1503 AC Servo Drive TEL Tokyo Electron Lithius CRA FOUP Used 2
Welch 8910A Vacuum Pump DirecTorr V C37JXDW-157 Used Tested As-Is 1
Taiyo Machinery NP8148Q030-2 PCB Board 12SCRA Y TEL Tokyo Electron Lithius Used 2
Taiyo Machinery NP8148Q030-2 PCB Board 12SCRA Y TEL Tokyo Electron Lithius Used 1
Taiyo Machinery NP8148Q030-2 PCB Board 12SCRA Y TEL Tokyo Electron Lithius Used 14
Daikin DFS509TC1BR Fan Filter MMH5000970 TEL Tokyo Electron Lithius FFU Used 2
Daikin DFS509TC1BL Fan Filter MMH5000970 TEL Tokyo Electron Lithius FFU Used 2
Taiyo Machinery MP-300-R2 Mapping Unit TEL 5087-403663-11 Lithius Used Working 4
Taiyo Machinery MP-300-R2 Mapping Unit TEL 5087-403663-11 Lithius Used Working 8
Lam Research 810-017012-001 Heartbeat PCB Board Rev. F Used Working 1
Lam Research 810-017012-001 Heartbeat PCB Board Rev. F Used Working 1
TEL Tokyo Electron Optical Disc Drive DVD Assembly Lithius Used Working 13
TEL Tokyo Electron Optical Disc Drive DVD Assembly Lithius Used Working 2
Cosel AC3-OHRR-00 Power Supply ACE300F TEL Tokyo Electron Lithius Used Working 12
Cosel AC3-OHRR-00 Power Supply ACE300F TEL Tokyo Electron Lithius Used Working 2
Panasonic MSMA082S2N AC Servo Motor Y AXIS CRA TEL Tokyo Electron Lithius Used 1
Panasonic MSMA082S2N AC Servo Motor Y AXIS CRA TEL Tokyo Electron Lithius Used 1
TEL Tokyo Electron 5085-404809-11 Career Station Block Robot Track Lithius Used 1
TEL Tokyo Electron 5085-404809-11 Career Station Block Robot Track Lithius Used 2
TEL Tokyo Electron 5085-404811-11 Career Station Robot Assembly Lithius Used 2
Fuji Electric M-SPS1000RM-2F UPS TEL Tokyo Electron Lithius No Battery As-Is 1
Fuji Electric M-SPS1000RM-2F UPS TEL Tokyo Electron Lithius No Battery As-Is 2
TEL Tokyo Electron CPC-T0033A-12 IO CSB Board #01 TOB1033 Lithius Used Working 2
TEL Tokyo Electron CPC-T0033A-12 IO CSB Board #01 TOB1033 Lithius Used Working 1
TEL Tokyo Electron CPC-T0033A-12 IO CSB Board #01 TOB1033 Lithius Used Working 4
TEL Tokyo Electron MPC-T0048A-11 IO MTR #02 Rev. 01 TOB1048 HA-016 Lithius Used 2
TEL Tokyo Electron MPC-T0048A-11 IO MTR #02 Rev. 01 TOB1048 HA-016 Lithius Used 1
TEL Tokyo Electron MPC-T0048A-11 IO MTR #02 Rev. 01 TOB1048 HA-016 Lithius Used 5
TEL Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working 8
TEL Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working 8
TEL Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working 4
TEL Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working 40
TEL Tokyo Electron TKB7050 CTRL DISP #01 PCB Board AP9E-0995B Lithius Used 2
TEL Tokyo Electron TKB7050 CTRL DISP #01 PCB Board AP9E-0995B Lithius Used 1
TEL Tokyo Electron TKB7050 CTRL DISP #01 PCB Board AP9E-0995B Lithius Used 13
TEL Tokyo Electron APC-T0047A-11 IF AMHS #02 PCB TOB1047 Rev. LH Lithius Used 2
TEL Tokyo Electron APC-T0047A-11 IF AMHS #02 PCB TOB1047 Rev. LH Lithius Used 1
TEL Tokyo Electron APC-T0047A-11 IF AMHS #02 PCB TOB1047 Rev. LH Lithius Used 14
Omron G9SC-120-TE2 RY MTR #02 PCB Board TEL Tokyo Electron Lithius Used Working 10
Omron G9SC-120-TE2 RY MTR #02 PCB Board TEL Tokyo Electron Lithius Used Working 2
Omron G9SC-120-TE2 RY MTR #02 PCB Board TEL Tokyo Electron Lithius Used Working 1
Omron G9SC-120-TE2 RY MTR #02 PCB Board TEL Tokyo Electron Lithius Used Working 21
Omron G9SC-120-TE2 RY MTR #02 PCB Board TEL Tokyo Electron Lithius Used Working 2
TEL Tokyo Electron HA-012 DC/DC CONV #02 PCB Rev. DK PHA-012-1 Lithius Used 1
TEL Tokyo Electron HA-012 DC/DC CONV #02 PCB Rev. DK PHA-012-1 Lithius Used 7
TEL Tokyo Electron HA-012 DC/DC CONV #02 PCB Rev. DJ PHA-012-1 Lithius Used 1
TEL Tokyo Electron HA-012 DC/DC CONV #02 PCB Rev. DJ PHA-012-1 Lithius Used 48
Sanyo Denki PMDPC1S3P10 PM Driver PRS-4719 TEL Tokyo Electron Lithius Used 26
Sanyo Denki PMDPC1S3P10 PM Driver PRS-4719 TEL Tokyo Electron Lithius Used 8
Sanyo Denki PMDPC1S3P10 PM Driver PRS-4719 TEL Tokyo Electron Lithius Used 4
Edwards W655-31-611 Pressure Sensor Transducer 100 Torr Used Tested Working 1
Neslab 349104040121 Recirculating Chiller CFT-75 Used Tested Not Working 1
Tamagawa TA3420N Track Ball TEL Tokyo Electron Lithius Used Working 2
Tamagawa TA3420N Track Ball TEL Tokyo Electron Lithius Used Working 1
Meiden UA023/350A Lithius Career Station Controller TEL Tokyo Electron Used 3
Meiden UA023/350A Lithius Career Station Controller TEL Tokyo Electron Used 4
Meiden YZ80ZM-11 FDD HDD Mirror Card TEL Tokyo Electron Lithius Used Working 1
Meiden YZ80ZM-11 FDD HDD Mirror Card TEL Tokyo Electron Lithius Used Working 7
Advanet AGPCi8012 CompactPCI PCB Card TEL Tokyo Electron Lithius Used Working 2
Advanet AGPCi8012 CompactPCI PCB Card TEL Tokyo Electron Lithius Used Working 1
Advanet AGPCi8012 CompactPCI PCB Card TEL Tokyo Electron Lithius Used Working 8
TEL Tokyo Electron E2B301-11/UEC Ghost PCB Card E208-000020-11 Lithius Used 2
TEL Tokyo Electron E2B301-11/UEC Ghost PCB Card E208-000020-11 Lithius Used 1
TEL Tokyo Electron E2B301-11/UEC Ghost PCB Card E208-000020-11 Lithius Used 7
Densan DCP-P750/11 CompactPCI Processor PCB Card TEL Tokyo Electron Lithius Used 1
Densan DCP-P750/11 CompactPCI Processor PCB Card TEL Tokyo Electron Lithius Used 8
TEL Tokyo Electron E2B401-11/UMC Ghost PCB Card E2B402-11/EGUM Used 2
TEL Tokyo Electron E2B401-11/UMC Ghost PCB Card E2B402-11/EGUM Used 1
Meiden UP003 Power Supply Module PCB Card TEL Tokyo Electron Lithius Used 7
Meiden UP003 Power Supply Module PCB Card TEL Tokyo Electron Lithius Used 2
Meiden UP003 Power Supply Module PCB Card TEL Tokyo Electron Lithius Used 1
Meiden UA023/350A Industrial Computer Case SU52A40224 TEL Lithius Used Working 1
Meiden UA023/350A Industrial Computer Case SU52A40224 TEL Lithius Used Working 1
Lincoln Electric R3R-300 DC Arc Welder Idealarc Used Working 1
Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. E Used Working 11
TEL Tokyo Electron 3D10-300461-V1 Fixture Gap 110-210 New 1
Densei-Lambda DPS2800 Power Supply TEL Tokyo Electron Lithius Used Working 10
Lam Research 853-017805-045 RF Cable 15 Meter Rev. B Used Working 1
TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used 1
TEL Tokyo Electron 5087-402251-17 CPHP General Chamber Type 2 Lithius Used 2
TEL Tokyo Electron HTE-0V3-E-15 IO HP #01 PCB TMB1100 HTE-0C3-C-13 Lithius Used 22
TEL Tokyo Electron HTE-0V3-E-15 IO HP #01 PCB TMB1100 HTE-0C3-C-13 Lithius Used 2
TEL Tokyo Electron HTE-0V3-E-15 IO HP #01 PCB TMB1100 HTE-0C3-C-13 Lithius Used 2
TEL Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working 19
TEL Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working 9
TEL Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working 2
TEL Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working 2
TEL Tokyo Electron 5087-403592-14 Cup Washer Holding Stage (2) CWH Lithius Used 1
TEL Tokyo Electron HP Tower 1 Gauge Panel Process Station Lithius Used Working 1
Nikon 2S700-580-1 System Control PCB SCPU Optistation 3 Used Working 1
Nikon 2S700-580-1 System Control PCB SCPU Optistation 3 Used Working 8
TEL Tokyo Electron HP Tower 2 Gauge Panel Process Station Lithius Used Working 1
Pro-Face GP37W2-BG41-24V Graphic Panel Touch Screen QPM3D200B2P Used Working 3
Total Control QPH2D100L2P Handheld Operator Interface Pendant Used Working 1
Pro-Face GP37W2-BG41-24V Graphic Panel Touch Screen QPM3D200B2P Fibox Case Used 4
SVG Silicon Valley Group 99-80269-01 Shuttle Interface Board PCB Rev. G 90S Used 3
Aera TC FC-D985CT-BH Mass Flow Controller FC-D985c 300 CCM CI2(0.856) Used 1
SVG Spin Station Expansion Board 99-80207-03 working 1
Asyst 9700-6209-01 Power Distribution Center with AC/DC Switch Epsilon 3000 Used 1
SVG Shuttle Interface Board 99-80269-01 Rev.J working 1
Nicolet Instrument ECO8S FT-IR Infrared Spectrometer Used Working 1
Whedco 78006002 Servo Motor Controller Jr Series IMJ-313E-X-D Used Working 1
AB Allen-Bradley 9101-2323 Servo Drive Ultra Series 1398-DDM-009 Used Working 1
Whedco 78006002 Servo Motor Controller Jr Series IMJ-313E-X-D Used Working 5
Electro-Craft 9101-1592 Servo Drive Advantage Line DDM-009 Used Working 1
Omron S82K-10024 Power Supply Lot of 2 Used Working 10
GE Fanuc IC693UDR005LP1 Programmable Controller Series 90 Mirco Used 1
Panasonic MUMS041A1EOS AC Servo Motor Used Working 1
Lam Research 853-002900-010 RF Cable Assembly New 1
Parker Compumotor TS42B-DKNPS 1.8° Step Motor Used Working 1
GE Fanuc MTR-3N31-H-R-N-S-A Brushless Servo Motor 518-43-010 Used Working 4
Whedco 35005710 Servo Motor MTR-3N31-H-R-O-N-O Gearhead 518-43-010 Used Working 2
Oriental Motor 5IK60GU-AW Induction Motor 5GU36KB Gear Head Used Working 6
Yaskawa SGMAH-04AAA4C AC Servo Motor Used Working 6
Pacific Scientific E31NRLT-LNF-NS-00 1.8° Step Motor Used Working 8
Haydon Switch & Instrument LR430-05-0055ENG Servo Motor Used Working 1
PRI Keyboard & Slave Control Board BM26380/F working 1
Applied Motion HT17-068 Step Motor Reseller Lot of 5 Used Working 2
Pacific Scientific P21NRHS-LNF-NS-02 Step Motor Reseller Lot of 4 Used Working 4
Anaheim Automation 23L9104XCS-02 Stepper Motor Reseller Lot of 5 Used Working 6
GE Fanuc HE693STP104AX Indexer Stepper Motor One Axis Used Working 2
GE Fanuc Series 90-30 PLC 5-Slot Controller IC693PWR321Z Used Working 3
A-B Allen-Bradley 1762-L40BXBR PLC Controller 1762-IQ8 1762-OW16 Used Working 1
PRI RAM 1 16K Board BM70053 working 1
Applied Motion 354ØMO Stepper Driver Dual Control Used Working 15
Applied Motion 354ØMO Stepper Driver Single Control Used Working 7
Applied Motion 1000-213 Stepper Driver PCB Assembly Used Working 2
Minarik XL3025A-Q-0583 DC Motor Speed Controller Lot of 2 Used Working 13
Minarik XL3025A-Q-0942 DC Motor Speed Controller Lot of 2 Used Working 7
Applied Motion 1000-053E Stepper Driver PCB Used Working 3
Sti 44507-0100 Actuated Safety Inerlock Switch Set of 2 Used Working 11
Sti 44507-0100 Safety Interlock Switch MA Series Ferrogard 9 Lot of 4 Used 1
PRI CPU85 XYZ Control Board BM70020 working 1
ULVAC Sinku Kiko DSB-600 Sliding Valve Type Vacuum Pump 590 Torr Tested As-Is 1
ULVAC Sinku Kiko DSB-600 Sliding Vane Type Vacuum Pump 700 Torr Tested As-Is 1
Patlite SE-T Modular Signal Tower Reseller Lot of 4 Used Working 1
Norgren S-A00936 Wafer Chuck Cylinder MRC Eclipse Used Working 1
AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working 1
Edwards C41317000 Right Angle Valve PV25SPKA AMAT 0151-90830 Used Working 2
Mitsubishi NF50-SWU Circuit Breaker 50A 30A Reseller Lot of 4 Used Working 1
Mitsubishi NF50-SWU Circuit Breaker 15A 5A Reseller Lot of 3 Used Working 1
HItachi 271-0011 Control Rack HV Card ESBI 100A ESB|100 S-9300 Used Working 24
Semitool Output Board Assy. 14831-01 working 1
Applied Motion 4034-329 Synchronous Stepping Motor Used Working 2
Glentek GM2345-16-02001810-031 Permanent Magnet DC Servo Motor Used Working 1
Glentek 3101112-1 Servo Amplifier Driver GA365-1 Used Working 3
View Engineering 2870030-501 Interconnect Sub-Panel PCB 8100 Used Working 3
Verteq Level/Pressure Sensor Sunburst Cleaner Used Working 1
View Engineering 2109380-511NC Connector Interface Sub-Panel Used Working 1
PRI Encoder Interface Board BM05750 working 1
Verteq MP-005-02 Process Controller Sunburst Megasonic Cleaner Used Working 1
Acuity Imaging CM4000 CCD Black and White Camera Used Working 1
View Engineering 10000-501 PLC PCB Programmable Controller C40H-C6DR-DE-V1 Used 1
Sound Vision SV Micro Microscope CCD Camera Used Working 1
Opto Sonderbedarf ZOOM 70XL Microscope Lens Teli CS8620Ci Camera Assembly Used 1
Oriental Motor E2936-524 Reaction Synchronous Motor ZGN18KA Used Working 2
Lambda LFS-46-48 Regulated Power Supply Used Working 1
GSI Lumonics 440-0234-001 Laser Power Module 450-0058-001 Used Working 1
Glentek 3101086-2 Servo Motor GM1510B Used Working 1
Whedco 35004561 Servo Motor MIR-1220-E-D-E-O Used Working 1
Whedco 35004563 Servo Motor MIR-1235-E-D-E-O Used Working 1
Oriental Motor USM206-401W Speed Control Motor Gear Head 2GN7.5K Used Working 4
PRI CPU85 Pitch Control Board KX00002 working 2
Panasonic MSM042A4A Servo Motor Used Working 1
Panasonic MSM022A1F Servo Motor Used Working 1
Keyence FS-V11 Photoelectric Optical Sensor Reseller Lot of 4 Used Working 7
NF Electronic Instruments Actuator Controller Panasonic LSC BP22S-MJ Used 1
Panasonic PU22EX-1 LED Light Controller LSC BP22S-MJ Used Working 1
Panasonic CCD/CCU Video Module LSC BP22S-MJ Used Working 1
Keyence LX2-60 Photoelectric Thru Beam Amplifier Used Working 1
Panasonic EDTCB07QLF 10.5 Inch LCD Screen ECXF6604 LSC BP225-MJ Used Working 1
SMC AMR3000-02S Pneumatic Manifold Panasonic LSC BP225-MJ Used Working 1
OKI HCK-89911-02 Keypad Panasonic LSC BP22S-MJ Used Working 1
Panasonic SMEMA Box SSR Relay Module LSC BP22S-MJ Used Working 1
Hoya-Schott MegaLight 100 Fiber Optic Illuminator Panasonic LSC BP225-MJ Used 2
Oriental Motor PK564AW 5-Phase Stepping Motor Vexta Used Workin 1
Lam Research 810-17016-1 Stepper Motor Driver PCB Card Rev. B Used Working 1
Lam Research 810-17018 Gap Motor Controller Board PCB Used Working 1
PRI Encoder Interface Board BM05753 working 1
Lam Research 853-190023-001 Controller Assembly 272072-00 Lam Rainbow 4420 Used 2
Anorad 73777 Brushless Servo Amplifier SMA8415-1C54-003-1A-1-01 Used Working 2
Galil Motion Control ICM-1900 Interconnect Module AMP-1900 Used Working 2
Keyence RV3-55B/R Multifunctional Controller RV3-SSR Used Working 1
GD California SYS68K/SIO-2 VME PCB Card Lam 810-017038-002 Rev. C Used Working 1
Force Computers 890-14443-101 VME Card SYS68K/SASI-1 LAM MOD 1 4420 Used Working 1
PRI I/O Interface Board BM70591 working 2
Lam Research 810-017031-003 ADIO A0 PCB Card Rev. 2 Used Working 1
Lam Research 810-17031-3 ADIO A0 PCB Card Rev. 2 Used Working 4
Edwards B4138 High Vacuum Tube Tee ISO80 ISO-K NW25 iQDP Series Used Working 1
Edwards B4138 High Vacuum Tube Tee ISO80 ISO-K NW25 iQDP Series Used Working 2
Edwards C5213 High Vacuum Tube Tee ISO100 ISO-K NW25 Used Working 2
Edwards C5118 High Vacuum Tube Tee ISO100 ISO-K NW25 Used Working 6
Edwards C5168 High Vacuum Adapter Tube Tee ISO160 to ISO100 NW25 Used Working 2
Edwards C3095 High Vacuum Adapter Tee ISO63 ISO-F to ISO100 ISO-F NW25 Used 1
Edwards High Vacuum Reducer Tee ISO100 ISO-K to NW50 Used Working 2
PRI 128K RAM Board BM29095 working 1
Key High Vacuum SL-150-NA113802 Manual Isolation Valve Used Working 1
ATMI Showerhead BSE 0101091-205 01-INT-006 refurbished 1
TEL Tokyo Electron 5085-404811-11 Career Station Robot No Effector Lithius Used 1
SMC CDQ2A50-30D Pneumatic Cylinder CDQ2B63-25D Reseller Lot of 3 Used Working 1
Hitachi KP-F100 Digital CCD Monochrome Camera Used Working 1
Yaskawa SGMAH-01AAA21 AC Servo Motor Used Working 1
MRC Materials Research A112990 Throttle Valve Assembly Rev. M Eclipse Star Used 3
Honeywell 300mm Sputtering Target 07-600/15 new 1
Key High Vacuum GV-6AP-4010780 Gate Valve MRC Eclipse Star Used Working 7
Teli CS8320B CCD Camera TV0440A9 Assembly LKT Automation TMT 1214 Used Working 1
Oriental Motor USM425-402W Speed Control Motor 4GN7.5K Gear Head Used Working 2
Advantech IPC-610BP-250 Vision PC 610 LKT Automation TMT 1214 Used Working 1
Advantech IPC-610BP-250 Handler PC 610 LKT Automation TMT 1214 Used Working 1
Tang Kai Transformer Engineering 15KVA 415V Transformer Used Working 1
SMC ZM131H-K5LZ-E15 Vacuum Generator ZSE1-00-15 Used Working 2
Lin Engineering 5609X-01SD-01 0.9° Step Motor Used Working 1
Yamatake HPX-T1 Photoelectric Sensor Reseller Lot of 4 Used Working 1
MRC Materials Research A114569 Index Assembly Rev. A Eclipse Star Used Working 1
CTI-Cryogenics 8039348 Pressurized Braided Stainless Steel Hose Used Working 3
CTI-Cryogenics 8039346 Pressurized Braided Stainless Steel Hose Used Working 2
CTI-Cryogenics 8039345 Pressurized Braided Stainless Steel Hose Used Working 4
Square D JCK-23V20 Adjustable Time Delay Relay Reseller Lot of 7 Used Working 1
MRC Materials Research A116924 Chamber Select Panel Eclipse Star Used Working 1
MRC Materials Research A127527 Heater Power Control Eclipse Star Used Working 1
Tadin 810002000 TadiSence Remote Sensing System TRS-A02 MRC Eclipse Used Working 2
Siemens ED43B015 Circuit Breaker Sentron Series I-T-E 15A 480VAC Used Working 4
Siemens ED43B050 Circuit Breaker Sentron Series I-T-E 50A 480VAC Used Working 1
Oriental Motor PH268M-E15 2-Phase Stepping Motor Vexta Used Working 1
Crydom D4850 D2440 Solid State Relay Reseller Lot of 9 Used Working 1
DNS Dainippon Screen DSX-L48/D Level Controller Sensor New Surplus 1
Edwards GVI 50 M Bellows Gate Valve B651-01-00 Used Working 1
TEL Tokyo Electron AP9E-1222A CONN SPIN #03 Board PCB TKB7061 Lithius Used 21
TEL Tokyo Electron AP9E-1222A CONN SPIN #03 Board PCB TKB7061 Lithius Used 27
TEL Tokyo Electron AP9E-1222A CONN SPIN #03 Board PCB TKB7061 Lithius Used 36
Edwards 3D80-000338-V1 STP SCU Turbomolecular Cable 20M TEL Used Tested Working 2
AMAT Applied Materials 0010-76061 HP ENP Transfer Robot Centura RTP Used Working 2
Siemens ED43B040 Circuit Breaker Sentron Series I-T-E 70A 480VAC Used Working 2
Siemens ED43B020 Circuit Breaker Sentron Series I-T-E 480VAC 20A Used Working 4
AMAT Applied Materials 0150-76512 CMP2 Controller Backplane Cable Umbilical Used 1
AMAT Applied Materials 0150-76177 CGP1 Controller Backplane Cable Gas Panel Used 1
AMAT Applied Materials 0150-76682 CLP1 Controller Backplane EMC COMP Used 1
AMAT Applied Materials 0150-76181 CMP3 Controller Backplane EMC COMP Used 1
Mitsubishi SD-N220 Magnetic Contactor 220 Amp Used Working 4
Tamagawa TS3653N95E8 Step Motor Used Working 1
BTM Corporation PCO-100-V130 Linear Clamp Cylinder Lot of 2 Used Working 3
White Safetyline 192510 Static Discharge Hook Stick Used Working 1
CTI-Cryogenics 8043341G600 Cryopump Flex Hose with TEE 8043504G002 Used Working 1
CTI-Cryogentics 8043454G600 Cryopump Flex Hose with Tee 8043505G002 Used Working 1
TEL Tokyo Electron HPT-106-2 Tubephragm Pump N2 Lithius Process Block Used 9
TEL Tokyo Electron HPT-106-2 Tubephragm Pump N2 Lithius Process Block Used 51
TEL Tokyo Electron ZPC-T0036A-11 CONN PRA Z #01 Board PCB TOB1036 Lithuis Used 6
TEL Tokyo Electron ZPC-T0036A-11 CONN PRA Z #01 Board PCB TOB1036 Lithuis Used 9
TEL Tokyo Electron BPC-T0038A-11 CONN PRA Base #01 PCB TOB1038 Lithius Used 16
Shinyei THT-B5377-0.7 Humidity & Temperature Transmitter TEL Lithius Used 1
Komatsu Electronics MCE-83WS Circulating Pump TEL Tokyo Electron Lithius Used 8
Miki Pulley BXH-12-10-A-20 Pulley Z Brake TEL 5085-407039-11 PRA Lithius Used 1
MKS Instruments 225A-24596 Baratron Tokyo Electron Lithius Used Working 2
MKS Instruments ETVA-24591 Exhaust Throttle Valve TEL Lithius Used Working 2
ADTEC Technology AT-400A PCB 03-170137-00 GasSonics Aura 2000LL Used Working 3
KLA-Tencor CRS 2000 Mirror Assembly working 1
ADTEC Technology AT-400A PCB 27-286643-00 GasSonics Aura 2000LL Used Working 2
Novellus 03-164888-00 DC/DC Converter Board PCB Rev. B Lot of 2 Used Working 2
Novellus 03-164888-00 DC/DC Converter Board PCB Rev. A Lot of 2 Used Working 2
General Scanning 2860257-503 8100 View Engineering 3D LED Scanner Used Working 1
View Engineering Heat Exhaust Unit 1NB412S77 8100 3-D Scanning Used Working 1
View Engineering 2870240-1 System Controller 8100 3-D Scanning System Used 1
Nor-Cal Products ESV-1002-NWB Manual Isolation Valve Used Working 2
Nor-Cal Products ESVP-1002-NWB-SA Pneumatic Isolation Valve Used Working 1
Precise Sensors 3441-0006501C6WSE02L0002 Pressure Gauge 4861-65-GA-RM Used 10
Precise Sensors 3441-0006501C6WSE02L0002 Pressure Gauge 4861-65-GA-RM Used 12
Leybold 20014895-000-1.6M Turbovac 340 M Cable Set 20014896-000-1.6M Used Tested 1
Nidec UGTMEM-01SNQ41 Minertai Motor Recitle Loader Robot X-Axis Used Working 1
Pearl Kogyo F-2000-0.1 RF Filter Module Used Working 1
SMC XLD-50-X638 Vacuum Angle Isolation Valve TEL 3D80-000326-V1 Used Working 10
KLA-Tencor 000-3008528 Optical Module CRS 2000 used working 1
SMC 3D80-002107-V1 High Vacuum Valve XLAQ-40-X925 Lot of 2 Used Working 1
VAT 62132-KA28-AAH1 Pneumatic Isolation Angle Valve Used Working 4
MKS Instruments 253B-13264 Exhaust Throttle Control Valve Used Working 1
Leybold 288 12 Manual Angle Isolation Valve 28812 Used Working 1
KLA-Tencor CRS 2000 Power Supply working 2
AMAT Applied Materials 0270-01156 Robot Calibration Tool 300mm E-Chuck New 6
Axcelis 075342 RF Detector Module RF-2 Used Working 1
Axcelis 075342 RF Detector Module RF-2 Used Working 1
AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. C Used 1
AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITC Rev. D As-Is 1
Asyst 04290-101 Load Lock Elevator GaSonics 94-1119 Hine Design 06763-005 Used 11
Asyst 04290-101 Load Lock Elevator GaSonics 94-1119 Hine Design 06763-805 Used 1
Hine Design 853-4290-001 Load Lock Elevator GaSonics 94-1119 Used Working 1
Asyst 04290-101 Load Lock Elevator Rev. 0 GaSonics 94-1119 Hine Design Used 2
Asyst 04290-101 Load Lock Elevator Rev. 1 GaSonics 94-1119 Hine 06763-005 Used 1
GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. E Used Working 5
GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. E Used Working 2
GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. C Used Working 1
GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. C Used Working 1
GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. J Used Working 1
GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. H Used Working 2
Asyst 04290-201 Process Chamber Elevator GaSonics 94-1118 Hine 06763-005 Used 7
Asyst 04290-201 Process Chamber Elevator GaSonics 94-1118 Hine 06763-805 Used 2
Hines Design 853-4290-002 Process Chamber Elevator GaSonics 94-1118 Used Working 1
Asyst 04290-201 Process Chamber Elevator Rev. 0 GaSonics 94-1118 Used Working 1
GaSonics A95-219-01 Wafer Cooling Station 200mm End Effecter Used Working 7
VAT 65048-JH52-ALJ1 Throttling Pendulum Vacuum Gate Valve Used Working 4
VAT 65048-JH52-ALJ1 Throttling Pendulum Vacuum Gate Valve 1235154 Used Working 1
TEL Tokyo Electron T-3044SS Circuit Breaker Module 3D93-M50268-V1 Used Working 1
Oriental Motor PH268M-E1.5 2-Phase Stepping Motor Lot of 2 Used Working 1
CKD FGL11-4RM-32-015 Vacuum Break Valve Used Working 2
Diavac Limited LCAV-25H Pneumatic Angle Valve MRC Eclipse Star Used Working 3
Hitachi BBB5-01 Pin Out PCB Board M-712E Shallow Trench Etcher Used Working 1
Hitachi BBBA-02 Backplane PCB Board M-712E Shallow Trench Etcher Used Working 1
Hitachi BBMF-01 Backplane PCB Board M-712E Shallow Trench Etcher Used Working 1
GE Fanuc Series 90-30 PLC 5-Slot Controller IC693CPU313V Used Working 2
Yamatake HPB-D1 Photoelectric Sensor Lot of 2 Used Working 1
Kuhnke 690.620.11.00 PROFIBUS I/O 8IN/8OUT PLC Unit New 1
Fuji Electric BU-ESB3050 Circuit Breaker 50 AMP Lot of 2 Used Working 1
GE Fanuc Base 10-Slot EMI Enhanced PLC IC693CH5391 Lot of 4 Used Working 1
GE Fanuc IC693MDL645 Input Module 24VDC Lot of 4 Used Working 1
Daihen AGA-27C-V RF Generator HFA-30A-V Tel 3D80-000825-V3 Used Tested Working 2
Turbo-v450 Varian 969-9542 Turbomolecular Vacuum Pump Controller Used Working 1
TEL Tokyo Electron 848 TCP Transition Chill Plate Left ACT12 Incomplete As-Is 1
TEL Tokyo Electron 2985-429208-W4 ADH Adhesion Module 847 ACT12 Damaged As-Is 1
Ultratech Left Power Supply Assembly 2244i Photolithograph System Used Working 2
Ultratech Right Power Supply Assembly 2244i Photolithography System Used 2
Ultratech Stepper Temperature Controller Module RKC REX-F700 2244i Used Working 2
 Ultratech Stepper Temperature Controller Module RKC REX-F700 224i As-Is 2
Haskris Company R050 Recirculating Chiller R-Series Display Not Working As-Is 1
Fujikin FCS-4WS-798-F160#B Mass Flow Controller MFC FCS Ar Used 8
Gespac GESPIA-2A 8835 PCB Card PIA-2A OnTrack DSS-200 Used Working 12
Opto 22 PB24Q Relay Board PCB ODC5Q 6 OnTrak DSS-200 Used Working 2
Opto 22 PB24Q Relay Board PCB ODC5Q 4 OnTrak DSS-200 Used Working 2
Gespac GESDAC-2B-8945 PCB Card DAC-2B OnTrack DSS-200 Used Working 2
Gespac GESADC-12A 9310 PCB DAQ Module Card ADC-12A OnTrack DSS-200 Used Working 2
Gespac GESICU-2A 8549 PCB Inteconnect Card ICU-2A OnTrack DSS-200 Used Working 2
Lam Research 282858802 PCB Intelligent Stepper Card OnTrak DSS-200 Used Working 1
OnTrak Systems 28-0075-011 PCB Intelligent Stepper Card DSS-200 Used Working 7
Lam 12-1000-017 Dual DC Motor Controller PCB Card Rev. C OnTrak DSS-200 Used 1
Lam 12-1000-017 Dual DC Motor Controller PCB Card Rev. D OnTrak DSS-200 Used 2
OnTrak Systems 12-1000-017 Dual DC Motor Controller PCB Rev. B DSS-200 Used 1
OnTrak Systems 22-1000-006 DSS Interface PCB DSS-200 Remote Module Used Working 1
OnTrack Systems 22-1000-006 DSS Interface Robot Relay PCB DSS-200 Remote used 1
Lam Research 22-5100-004 Isolated I/O Board PCB OnTrack DSS-200 Used Working 1
Asyst 9700-5819-01 Robot Controller CMS II Rev. 4 ASM Epsilon 3000 Used Working 1
Asyst Technologies 05050-017 Wafer Pre-Aligner Model 5 Used Working 1
Asyst Technologies 05050-017 Wafer Pre-Aligner Model 5 Used Working 1
Minarik 507-01-106 DC Gearmotor Bison 220C-PU Reseller Lot of 2 Used Working 1
TEL Tokyo Electron E208-000021-11 Ghost Port PCB Card E2B401/UMC Lithius Used 7
TEL Tokyo Electron E208-000021-11 Ghost Port PCB Card E2B401/UMC Lithius Used 1
TEL Tokyo Electron HA-014 CONN SPIN #02 PCB Board Lithius Used Working 13
TEL Tokyo Electron APC-T0041A-11 CONN AMC #01 PCB Board Lithius Used Working 9
Taiyo Machinery NP8148Q010-1 PCB Board 12SCRA X TEL Tokyo Electron Lithius Used 1
TEL Tokyo Electron HA-018 CONN SPIN #04 PCB Board Lithius Used Working 1
MKS Instruments EPCA-24592 2-Channel Controller Card TEL Lithius Used Working 1
Sanyo Denki PMDPC1S3P10 PM Driver PRS-4719 TEL Lithius Reseller lot of 2 Used 6
TEL Tokyo Electron CPC-T0033A-12 IO CSB Board HA-012 Assembly Lithius Used 10
Panasonic MSMA08252N AC Servo Motor Y AXIS CRA Assembly TEL Lithius Used 10
Tamagawa TA3420N Track Ball TA3420N4103 TEL Tokyo Electron Lithius Used Working 5
Tamagawa TA3420N Track Ball TA3420N4103 TEL Tokyo Electron Lithius Used Working 3
Meiden UP012/002A Battery Module TEL Tokyo Electron Lithius Used Working 1
Meiden UP004 Battery Module TEL Tokyo Electron Lithius Used Working 1
Hitachi HJ-7500-60EED Career Station Block Computer HF-W75F DVI TEL Lithius Used 2
TEL Tokyo Electron E244-000048-11 PCB E2B302/NUEC E281-000042-11 Lithius Used 2
Yamatake EMT0850C05KXXT0 LCD Monitor TEL Tokyo Electron EC80-000188-11 Used 8
KV Automation 4022.486.23731 Gas Supply Module ASML Used Working 1
KV Automation 4022.486.23731 Gas Supply Module ASML Used Working 1
KV Automation 4022.486.21591 Robot Gripper Manifold ASML Used Working 1
TEL Tokyo Electron Clean Track Lithius Operation Manual Set Used 1
Komatsu 20001920 Thermo Module MCU-02TM TEL Lithius Interface Block IRAM Used 1
Omron D4GS-N4R Slim Safety Door Switch Lot of 4 Used Working 4
Omron D4GS-N4R Slim Safety Door Switch D4GS-N4R Lot of 3 Used Working 1
SMC INR-244-271 Controller Assembly 4TP-1B849 TEL Tokyo Electron Lithius Used 12
Komatsu BAMA01263 Power Supply PCB Board CADG00143 TEL Lithius Used 9
Komatsu BAMA01263 Power Supply PCB Board CADG00143 TEL Lithius Used 15
Komatsu 30005300 Processor PCB Board CADK00360 TEL Tokyo Electron Lithius Used 5
Komatsu 30005300 Processor PCB Board CADK00360 TEL Tokyo Electron Lithius Used 1
Komatsu BAMA00191 Power Supply PCB Board CADK00251 TEL Lithius Used Working 12
Densi-Lambda PWB-793E Power Supply PCB Board TEL Lithius Used Working 10
Schaffner FN3010-20-46 Three Phase Filter Module TEL Lithius Used Working 5
ASML Vacuum Gas Module 4022.486.21561 working 1
Cosel AD960-24 Power Supply Komatsu PCB BAMA01132 TEL Lithius Used Working 5
Digital HTK2300V Operator Interface Panel Pro-Face TEL Lithius Used Working 30
Panasonic MSMA102P1H AC Servo Motor TEL Tokyo Electron Lithius Used Working 6
NSK 51161-802-001 Interface Block Robot IRAM TEL Lithius with End Effector Used 1
NSK 51161-802-001 Interface Block Robot IRAM TEL Lithius with End Effector Used 1
NSK 51161-802-001 Interface Block Robot IRAM TEL Lithius with End Effector Used 4
Komatsu BAMA01180 Power Supply PCB Board CADK00360 TEL Lithius Used 9
Komatsu BAMA01150 Power Supply PCB Board CADK00330 TEL Lithius Used Working 3
Komatsu BAMA01170 Power Supply PCB Board CADK00340 TEL Lithius Used 3
Komatsu BAMA01160 Power Supply PCB Board CADK00340 TEL Lithius Used 3
ASML Control Card Module 4022.470.7782 working 1
Komatsu BAMA01140 Display PCB Board CADK00320 TEL Lithius Used Working 3
SUNX Sensors FX-301 Photoelectric Sensor FX-300 Series Reseller Lot of 10 Used 17
SUNX Sensors FX-301 Photoelectric Sensor FX-300 Series Reseller Lot of 10 Used 2
NAiS AY33002 Relay Module RT3S-24V Lot of 3 Used Working 2
Omron E3X-DA11-5 Photoelectric Sensor Switch Lot of 8 Used Working 1
Schneider Electric LC1F150 3-Pole Contactor LAD11 LX1FF024 Used Working 1
Nor-Cal ESVP-1002-NWB Pneumatic Isolation Angle Vacuum Valve Used Working 1
Nor-Cal AIV-1002-NWB Pneumatic Isolation Angle Valve Used Working 1
Nichias 5010-202984-11 Spin Chuck TEL Tokyo Electron 5085-411385-1* ACT12 Used 33
Flowell 60-6.3X4.3U-PS-R Resin Fitting G160531 TEL 028-017546-* Lot of 9 New 1
ATMI SM4BKAFG-050812 Photo Resist Dispenser TEL Tokyo Electron Lithius Used 2
Mitsubishi NF50-3WU3 050 Circuit Breaker NF50-SWU Reseller Lot of 4 Used Working 9
Mitsubishi NF50-3WU3 030 Circuit Breaker NF50-SWU Reseller Lot of 5 Used Working 2
Mitsubishi NF50-3WU2 015 Circuit Breaker NF50-SWU Reseller Lot of 5 Used Working 2
Cosel LDA15F-24 Compact Power Supply PCB LDA15F Used Working 3
Schlumberger Systems Servo Interface PCB Board Rev. A Used Working 2
Schlumberger Systems 504-000 DC Motor Driver 3012-504-001 Used Working 1
Pacific Scientific SC402-010 Servo Controller Lot of 4 for Repair As-Is 1
Pacific Scientific SC403-002 Servo Controller 105-040300-01 Used Working 1
IMS Electra COM 3 High Pin Count Test Station GPIB/ETHERNET PCB Card Used 1
Integrated Measurment Systems 100-0092-001B XL Terminator PCB Lot of 2 Used 1
IMS Electra 120-0280-031 ATS100 Datamodule PCB Card 100-0280-001 Used Working 11
IMS Electra 120-0280-021 ATS100 Datamodule PCB Card 100-0280-001 Used Working 9
IMS Electra 120-0280-801 ATS100 Datamodule PCB Card 100-0280-001 Used Working 1
IMS Electra 650-0095-101 PCB Card Rev. A 300-0271-000 Used Working 1
IMS Electra 120-0292-502 Blazer 2 Controller PCB Card 100-0292-002 Used Working 1
IMS Electra 120-0088-004 Timing Module PCB Card 100-0088-004 Used Working 2
NSK E043ZZIF1-012B PCB Board Z-I/F TEL Tokyo Electron Lithius Used Working 10
Tescom 44-3213H282-296 Manual Pressure Regulator Swagelok SS-45S8 Used Working 1
Tescom 44-3213H282-296 Manual Pressure Regulator Swagelok SS-45S8 Used Working 1
Tescom 44-3213H282-769 Manual Pressure Regulator Swagelok SS-45S8 Used Working 1
TEL Tokyo Electron Fan Speed Controller Lithius Used Working 1
SMC INR-244-261 RC Circuilator Pump Assembly TEL Tokyo Electron Lithius Used 16
SMC INR-244-261 RC Circuilator Pump Assembly TEL Tokyo Electron Lithius Used 6
SMC INR-244-261B RC Circulator Pump Assembly TEL Tokyo Electron Lithius Used 1
Ogura Clutch RNB 0.8G Electromagnetic Spring Applied Brake TEL Lithius Used 6
TEL Tokyo Electron G140130 IRA Plate Assembly New 1
Mitsubishi CP30-BA Circuit Protector 3-Pole 30A Reseller Lot of 10 Used Working 1
Mitsubishi CP30-BA Circuit Protector 3-Pole 2A Reseller Lot of 10 Used Working 1
Fuji Electric CP33F3/20 Circuit Protector Reseller Lot of 8 Used Working 1
Fuji Electric CP32PS/7.5 Circuit Protector Reseller Lot of 12 Used Working 1
Schaffner FN3010-20-46 Three Phase Filter Module Lot of 2 TEL Lithius Used 3
Mitsubishi NF50-SWU3 030 Circuit Breaker NF50-SWU Reseller Lot of 4 Used Working 1
Mitsubishi NF50-SWU2 015 Circuit Breaker NF50-SWU Reseller Lot of 4 Used Working 1
Lam Drive Arm Assy. 12123-1-C-01441057 working 1
Hitachi HMB632-JUS Keyboard TEL Tokyo Electron Lithius Used Working 4
Vicor MX5-412500-23-EL Power Supply 4kW MegaPac 97121008 Used Tested Working 1
Vicor MX5-48051-33-EL Power Supply 4kW MegaPac 97961120 Tested Low Output As-Is 1
Vicor MX5-410507-33-EL Power Supply 4kW MegaPac 97121010 Used Tested Working 1
Vicor MX1-410517-33-EL Power Supply 4kW MegaPac 97921754 Used Tested Working 1
Vicor MX2-45501-32-EL Power Supply 4kW MegaPac 9712336 Used Tested Working 1
Vicor MP8-49501-22-EL Power Supply 4kW MegaPac 97123194 Damaged Modules As-Is 1
Vicor MXB-48051-33-EL Power Supply 4kW MegaPac 97961120 Tested Low Output As-Is 1
Vicor MXB-410511-33-E?L Power Supply 4kW MegaPac 97921756 Tested Low Output As-Is 1
Iwaki HPT-106-2 Photoresist Tubephragm Pump HPT-106 Lot of 3 For Repair As-Is 1
AMAT Applied Materials 0150-13386 Interface Cable P2 XFER-LCF MF I/O New 1
Edwards NGG058000(P) DWG Interface Cable NGG058010 Lot of 4 New 1
Turck WSC WKC 572-2M/C1126 DNET Cable 2 Meter AMAT 0620-02366 New 1
Edwards U20001356 Cable Harness 16-Pin to 16-Pin Lot of 2 New 1
Inficon 600-1008-P15 Vacuum System Power Supply Extension Cable New 1
Edwards U20000721 4-Pin Molex to Photohelic Cable Assembly B3451 New 2
Leybold 72127746 RCU LCU System Controller Cable 50 Foot 721-27-746 New 1
Edwards U20000447P Gate Valve to Pump Cable Assembly 19.5 Foot Used Working 2
Edwards U20001370 Pump to Pump Cable Lot of 2 Used Working 1
CKD AMD01-8BUR-04-TC Pneumatic Valve Photoresist Lot of 10 TEL Lithius Used 22
CKD AMD01-8BUR-04-TC Pneumatic Valve Photoresist Lot of 10 TEL Lithius Used 8
CKD MD01-8BUR-04-TC Pneumatic Valve Photoresist Lot of 5 TEL Lithius Used 1
Kognei F-AVP070-23W Pneumatic Valve Photoresist Lot of 10 TEL Lithius Used 21
Kognei F-DAVP070-23W Pneumatic Valve Photoresist Lot of 10 TEL Lithius Used 9
Fujikura RA-TKER-2-1(A) Manual Pressure Regulator Lot of 4 TEL Lithius 4
Fujikura RA-TKER-2-1(A) Manual Pressure Regulator Lot of 3 TEL Lithius 3
Mitutoyo 378-802-6 Motorized Microscope Objective Navitar 1-51170 1-6015 Used 1
TMC DC-2000 Precision Valve Controller Rev. C Used Working 1
Ultratech 01-18-03903 Power Supply Stage Driver Used Working 1
Rasco Prism Purge SOW Blower Assembly Used Working 1
Edwards B58120000 Vibration Isolator Bellows SS DN100 ISO100 EXT Pump Used 2
SCU Seiko Seiki SCU-301 Turbomolecular STP Control Unit Used Tested Working 1
SCU Seiko Seiki SCU-H2001K2 Turbomolecular Pump Control Unit Used Tested Working 1
TEL Tokyo Electron OYDK-017A IO P-CHEM #01 OYDK-018B PCB Assembly Lithius 5
TEL Tokyo Electron OYDK-017A IO P-CHEM #01 OYDK-018B PCB Assembly Lithius 5
TEL Tokyo Electron OYDK-017A IO P-CHEM #01 OYDK-018B PCB Assembly Lithius 3
IMS Electra 121-0280-031 ATS100 Datamodule PCB Card 100-0280-001 Used Working 1
Oriental Motor EM596-NAE-A3 5-Phase Stepping Motor Vexta Used Working 1
Oriental Motor EM596-NAE-A3 5-Phase Stepping Motor Vexta Used Working 1
KLA-Tencor Archer XT+ Power Distribution Assembly 0017522-000 Used Working 1
Ultratech Stepper 03-15-02049 WAS BREAKOUT Board PCB Used Working 1
Ultratech Stepper 03-20-02567-01 PMT AMP M2000 PCB Board Used Working 2
Ultratech Stepper 01-18-01818-01 Optics Assembly 2244i Photolithography Used 1
Miki Pulley BXH-12-10-A-20 Spring Actuated Brake Motor TEL Lithius Used Working 3
Miki Pulley BXH-12-10-A-20 Spring Actuated Brake Motor TEL Lithius Used Working 13
Miki Pulley BXH-12-10-A-20 Spring Actuated Brake Motor TEL Lithius Used Working 2
Yaskawa SGMAS-12ABA-TE11 AC Servo Motor with Nabtesco GH7-11 Gearhead TEL Used 12
Varian Pump to Chamber Flange Turbo-V 300HT ISO100 ISO-K AMAT VeraSEM Used 6
TMP Shimadzu EI-3203MD Turbo Molecular Pump Controller 1.8K Tested Used Working 2
Densi-Lambda PWB-793F Power Supply PCB Board TEL Lithius Used Working 1
TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working 5
TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working 6
TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working 16
TEL Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working 4
TEL Tokyo Electron OYDK-058 CONN ANALOG #03 PCB Board Lithius Used Working 5
TEL Tokyo Electron OYDK-058 CONN ANALOG #03 PCB Board Lithius Used Working 6
TEL Tokyo Electron OYDK-058 CONN ANALOG #03 PCB Board Lithius Used Working 5
TEL Tokyo Electron OYDK-05S ANALOG PT #02 PCB Board Lot of 4 Lithius Used 8
TEL Tokyo Electron OYDK-05S ANALOG PT #02 PCB Board Lot of 4 Lithius Used 7
TEL Tokyo Electron OYDK-053 ANALOG 1-5 #02 PCB Board Lot of 3 Lithius Used 6
TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB Board Lithius Used Working 8
TEL Tokyo Electron OYDK-059 IO CHEM #02 PCB Board Lithius Used Working 10
TEL Tokyo Electron OYDK-062 CONN CHEM #02 PCB Board Lithius Used 8
Oriental Motor CSD5807N-P-A37 Servo Driver Vexta Lot of 2 TEL Lithius Used 5
Yamatake CMW200A102 Process Controller CMW Lot of 4 Used Working 8
Sunx DP4-50Z Compact Digital Display Pressure Sensor DP4 Series Lot of 10 Used 3
Sunx DP4-50Z Compact Digital Display Pressure Sensor DP4 Series Lot of 5 Used 1
Sunx DP4-50Z Compact Digital Display Pressure Sensor DP4 Series Lot of 5 Used 1
Sunx DP4-52Z Compact Digital Display Pressure Sensor DP4 Series Lot of 3 Used 1
Krone KS-3000-500Pa-V1 Differential Pressure Manometer Reseller Lot of 5 Used 6
Sunx DP4-52Z Compact Digital Display Pressure Sensor DP4 Series Lot of 3 Used 2
Sunx DP4-52Z Compact Digital Display Pressure Sensor DP4 Series Lot of 3 Used 6
Yaskawa SGMAS-A5ABA-TE11 AC Servo Motor TEL JZSP-CSP01-TE11 JZSP-CSM01-TE11 Used 3
Yaskawa SGMAS-A5ABA-TE11 AC Servo Motor TEL JZSP-CSP01-TE11 JZSP-CSM01-TE11 Used 3
Yaskawa SGMAS-A5ABA-TE11 AC Servo Motor TEL JZSP-CSP01-TE11 JZSP-CSM01-TE11 Used 9
Yamamoto MS61ALV120D Pressure Switch Assembly Manostar FR51 TEL Lithius Used 21
SMC LVA20-02-B Fluoropolymer Valve Reseller Lot of 10 TEL Lithius Used Working 3
Yamamoto MS61ALV300D Differential Pressure Switch Lot of 24 TEL Lithius Used 1
Opal 50317890000 SMC-Micro Board PCB Card Used Working 2
Opal 70417880100 SMC-RS232 Card PCB AMAT Applied Materials Used Working 4
Opal 70411560000 VCR/SMC Relay Board PCB AMAT Applied Materials Used Working 4
Nemic-Lambda RWS30A-5 Power Supply PCB Card YM-95-774 Used Working 4
Lambda PA-3N-4-6SG-001 AC/DC Power Supply PCB Card Used Working 4
EBM Papst 505-3004 System 3000 24&48V Fan Monitor V2.0 PCB Assembly Used 1
AMAT Applied Materials 0100-91146 ARC Interrupt PCB Board 0020-53074 Used 4
Edwards NGW415000 Pneumatic Gate Valve Used Working 1
AMAT Applied Materials 0100-91104 Vacuum Gauge RJ45 CONN I/F PCB 0120-93712 Used 3
AMAT Applied Materials 0100-91104 Vacuum Gauge RJ45 CONN I/F PCB 0120-93712 Used 15
Granville-Phillips 009614-101 330 Display Board PCB 9615-101 Used Working 1
Granville-Phillips 009001-108 Ionization Gauge Electrometer Module Used Working 1
Granville-Phillips 009592-109 330 Power Board PCB Module Used Working 1
AMAT Applied Materials 0100-01884 Arc Voltage Control Board PCB Card Used 1
AMAT Applied Materials 0100-01884 Arc Voltage Control Board PCB Card Used 4
AMAT Applied Materials 0100-01884 Arc Voltage Control Board PCB Card Used 5
AMAT Applied Materials 0100-01676 DECEL/FOCUS PSU Interface PCB Card Used 1
AMAT Applied Materials 9090-00314 daq MkII type H Used Working 1
AMAT Applied Materials 0100-90385 Contactor Drive PCB Card No Face Used Working 1
AMAT Applied Materials 0100-01884 Ave Voltage Control PCB Card Used Working 1
Integrated Power Designs SRW-45-4004 Power Supply WSR45UM44-C Lot of 2 Used 1
Integrated Power Designs SRW-14-4004 Power Supply WSR45UM44-C Lot of 5 Used 9
Astec LPS25 Switching Power Supply XP Power KM25US24 Reseller Lot of 17 Used 1
Vicor VI-LU3-EV AC/DC Switcher FlatPAC 24 Volts DC 150 Watts Used Working 3
Vicor VI-LU3-EV AC/DC Switcher FlatPAC 24 Volts DC 150 Watts Used Working 1
Vicor VI-LUL-CY AC/DC Switcher FlatPAC 28 Volts DC 50 Watts Used Working 3
Vicor VI-LUL-CY AC/DC Switcher FlatPAC 28 Volts DC 50 Watts Used Working 3
Vicor VI-MU4-ES AC/DC Switcher FlatPAC 48 Volts 300 Watts Used Working 3
Vicor VI-MU4-ES AC/DC Switcher FlatPAC 48 Volts 300 Watts Used Working 1
AMAT Applied Materials AM9090-00923ITL Processor Vacuum Control Chassis Used 1
HVA High Vacuum Apparatus 22211-0210 Pneumatic Slit Valve used working 1
CTI-Cryogenics 8113211G001 Goldlink Support Communications Unit EM-S300W Used 1
CTI-Cryogenics 8113211G001 Goldlink Support Communications Unit EM-S300W Used 9
DIP Incorporated 15029308 DIP293 PCB Board AWAT 9090-00273 Used Working 1
DIP Incorporated 15029208 DIP292 PCB Board 1502908-D AWAT 9090-00273 Used 1
Power-One LWN 2660-6 AC-DC/DC-DC Converter DIN Rail Power Supply Used Working 4
CFT-75 Neslab 395104041507 Refrigerated Recirculator Used Tested Working 3
CTI-Cryogenics 0500-00277 On-Board IS Controller Rack Mount Panel AMAT Used 1
Glassman PS/ER06N25.0YZA 6kV Power Supply AMAT 9090-01265ITL Used Working 1
Glassman PS/ER06N25.0YZA 6kV Power Supply AMAT 9090-00473ITL Used Working 2
AMAT ES30712310000 Internal Power Distribution Unit Main PDU SEMVision Used 4
Arcom Control Systems PC-COM4 RS232 Communications PCB Card Used Working 3
SST Woodhead 5136-DNP-PCI DeviceNet Pro PCI Adater PCB Card Used Working 1
A-B Allen-Bradley 1404-DM Powerminitor 3000 Display Used Working 1
Brooks 200mm Prealigner Chamber 10600-10 working 1
Miki Pulley BXH-12-10-A-20 Spring Actuated Holding Brake Used Working 1
Omron F35-A Safety Light CurtainSet of 2 F35-A482-D F35-A482-L Used Working 5
Omron F35-A482-D Safety Light Curtain F35-A Used Working 1
Nikon 45013-448 PCB Board Assembly IRC-A-PCB TEC VDJB0013203 Used Working 2
Iwaki HPT-106-2 Photoresist Tubephragm Pump PHDC11H11B Filter TEL Lithius Used 22
AMAT Applied Materials 0100-00925 I/O Processor Sensor PCB Card Used Working 3
AMAT Applied Materials 0100-01487 PSD Board GRIPPER IN LL PCB Card Used Working 2
AMAT Applied Materials 0120-01139-S1 PSD Board GRIPPER IN LL PCB Card Used 4
AMAT Applied Materials 0120-98079-S1 I/O Processor Sensor PCB Card Used Working 6
AMAT Applied Materials 0100-91032 PSU Micro Discharge Issue B PCB Board Used 4
AMAT Applied Materials 0100-91032 PSU Micro Discharge Issue C PCB Board Used 9
AMAT Applied Materials 0100-90875 Suppression Logic Issue D PCB Board Used 13
TEL Tokyo Electron TPC-T0037A-11 CONN PRA THETA #01 PCB Board TEL Lithius Used 5
TEL Tokyo Electron HTE-0V3-E-15 HP #01 HTE-0C3-C-13 PCB Board Lithius Used 21
KLA-Tencor Quantox GSI Scanner PCB 3002004 working 1
AMAT Applied Materials 0110-01139 PSD Board E-CHUCK PCB Card Used Working 11
AMAT Applied Materials 0110-01139 PSD Board BEAM ALIGN PCB Card Used Working 6
AMAT Applied Materials 0100-01697 DECEL/FOCUS PSU Interface ISS. A PCB Card Used 1
AMAT Applied Materials 0100-01697 DECEL/FOCUS PSU Interface ISS. B PCB Card Used 1
AMAT Applied Materials 0100-01735 EVR Gap Servo PCB Card Used Working 1
AMAT Applied Materials 0100-01734 EVR Lateral Servo PCB Card Used Working 3
AMAT Applied Materials 0100-01950 ARC Interrupt A PCB Board 0020-53074 Used 6
AMAT Applied Materials 0100-01691 Gas Interlock 10 Torr SDS PCB Card Used 50
AMAT Applied Materials 0100-02195 Gas Interlock 10 Torr SDS PCB Card Used 9
GSI General Scanning Inc. Lumonics 311-15593-1 Control PCB KLA-Tencor Used 1
AMAT Applied Materials 0100-00985 HP Gas Interlock 10 Torr SDS PCB Card Used 1
Oriental Motor CSD5807N-P-A37 Servo Driver Vexta Lot of 4 TEL Lithius Used 10
Oriental Motor CSD5807N-P-A37 Servo Driver Vexta Lot of 4 TEL Lithius Used 9
TEL Tokyo Electron XPC-T0045A-11 CONN PRA X #02 PCB Board TOB1045 Lithius Used 4
TEL Tokyo Electron OYDK-055 ANALOG PT #02 PCB Board Lithius Used Working 5
TEL Tokyo Electron OYDK-053 ANALOG 1-5 #02 PCB Board Lithius Used Working 49
TEL Tokyo Electron HTE-MC1-B-12 CONN HPI #01 Board TMB2001 PCB Lithius Used 1
TEL Tokyo Electron HTE-MC2-B-12 CONN HPB #01 TMB2101 PCB Board Lithius Used 1
TEL Tokyo Electron Top IC Plate Cup Washer Holding Stage Lithius Used Working 9
TEL Tokyo Electron Top IC Plate Cup Washer Holding Stage Lithius Used Working 10
Cosel LEA100F-24-Y Switching Power Supply XP Power CPA100PS24-C Lot of 3 Used 5
Komatsu 20001900 Circulating Pump MCE-83WS TEL Lithius No Connector Used Working 9
TEL Tokyo Electron 5010-406593-11 TRS Plate CWH Lithius Used Working 2
AMAT Applied Materials 1080-90120 Exchange Arm Motor Assembly 0021-06737 Used 1
Asyst 9700-6584-01 Advan Tag RFID Render ATR-9000 with 9700-6224-01 Sensor Used 1
Carl Zeiss 45 74 92 Microscope System AF Controller 457492 Used Working 1
Asyst 9700-6209-01 Power Distribution Center ASM Epsilon 3000 Used Working 1
EDCO Technologies 1SU41006 Phantom REMOTE-PCI-V.6 5BO20106 PCB Card Used Working 1
EDCO Technologies 1SU41008 Phantom REMOTE-PCI-V.6 5BO20106 PCB Card Used Working 1
AMAT Applied Materials EA 30816830 SBC_RST Board PCB Opal Used Working 1
Yaskawa JAMMC-SRC03I NOP OM-2 PCB Card DF9200878-B-0 Used Working 1
Yaskawa JAMMC-SRC01I Automation Control PCB Card JAMMC-SRC02 Used Working 1
Yaskawa JAMMC-SRC04I DT-DV Circuit Protector PCB Board DF9300878-A0 Used Working 1
L&H Power 849081.004 Power Supply IM804-1335/115A1 Used Working 8
RKC Instruments D100F-8N*DN-NN-NN Tempurature Controller REX-D100 Used Working 6
MDC High Vacuum Adapter Tube Flange Tee ISO160 ISO-F NW16 Used Working 3
VAT 12044-PA24-1002 Vacuum Gate Valve TEL Tokyo Electron Unity II Used Working 2
VAT 12044-PA24-1002 Vacuum Gate Valve TEL Tokyo Electron Unity II Used Working 1
Nikon 4S018-866 PPD3X4 PCB Card NSR-S204B Step-and-Repeat System Used Working 1
Nikon 4S018-866 PPD3X4 PCB Card NSR-S204B Step-and-Repeat System Used Working 1
Yasunaga YP-40VC Linear Air Pump TEL Tokyo Electron Lithius Used Tested Working 6
Yasunaga YP-40VC Linear Air Pump TEL Tokyo Electron Lithius Used Tested Working 2
TEL Tokyo Electron 5085-405392-12 IRAS Fork Assembly End Effector Lithius Used 2
Baldor VM3545 3 Phase Industrial Motor Chicago 38-5-1-10 Used Working 1
TEL Tokyo Electron TKB7001 IO Spin #02 TKB7043 PCB Board Lithius Used Working 2
TEL Tokyo Electron TKB7001 IO Spin #02 AP9Z-1521C PCB Board Lithius Used Working 2
CKD AMC-D2-X1 Valve Control PCB AMC-D2 TEl Tokyo Electron Lithius Used Working 6
MKS Instruments 622A01TBE Baratron Transducer 1 Torr Used Tested Working 1
Horiba STEC SEC-4600R Mass Flow Controller 100 SLM N2 Used Working 4
SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Used Working 1
SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Used Working 2
Ultratech Stepper 01-15-08204 Utility Panel 2244i Photolithography Used Working 1
Yaskawa DF9202111-C0 Servo Control PCB Board CLSR-CA-64N2A Used Working 2
HVA Pneumatic Amray Slit Valve 22211-0210 used working 1
Yaskawa DF9202827-B0 Power Supply PCB Board CLSR-CD-33N2A Used Working 1
Yamatake CME8153 Pneumatic Valve N2 Air 10L/min Lot of 13 TEL Lithius Used 2
Yamatake CME8151 Pneumatic Valve N2 Air 10L/min Lot of 10 TEL Lithius Used 8
3M Fluorinert FC-3283 Fluorinated Electronic Liquid 0.75 Gallon New Surplus 4
CAE Ultrsonics MSX-24T-208-CLMT Match Unit Used Working 2
A-B Allen-Bradley 1769-IF4 Compact I/O PLC 2 Channel Analog Input Module Used 1
Brooks 200mm Wafer Indexer 8600-65BAJ working 1
Tazmo E0R05-8012A Dual Digit Display PCB Board Used Working 1
Tazmo E0R05-9538 Driver Process PCB Board 810286311 ASM 510020201 Used Working 1
PPS Corporation 2D88M Microstep Servo Driver Used Working 1
PPS Corporation 5D13M Microstep Servo Driver Used Working 2
AMAT Applied Materials 0100-90077 Thermistor Gauge Controller PCB Card Used 2
AMAT Applied Materials 0100-90708 Vacuum Control Interlock PCB Card Used Working 1
Edwards NRA226000 IGC Auto Restrike Box Used Working 3
Yaskawa DDMQF-SR22311 System Robot Controller PAC/ELC2 SRC-II 006 Used Working 5
Yaskawa DDMQF-SR22311 System Robot Controller PAC/ELC2 SRC-II 006 Used Working 6
Yaskawa DDMQF-SR22311 System Robot Controller PAC/ELC2 SRC-II 006 Used Working 1
ET300W Ebara Turbo-Molecular Vacuum Pump Type 1 Used Working 1
SMC NCDRA1BS100-90 Pneumatic Rotary Actuator Used Working 2
SMC NCDRA1BS100-90 Pneumatic Rotary Actuator Used Working 2
TEL Tokyo Electron 3D81-000010-V2 Connector Board PCB TYB614-1/RFPD Used 2
Robitech 980-4825 Pneumatic Control Valve PCB Card 980-4800 Lot of 10 Used 5
Robitech 980-4825 Pneumatic Control Valve PCB Card 980-4800 Lot of 10 Used 1
NTRON C7-01-5124-00-0 Model 5100 O2 Analyzer 5124B-N1 Used Working 4
AMAT Applied Materials 9090-00979 Electrode Assembly 3X2H Used Working 1
SMC LX-525A-5BD-30S-A Electric Cylinder Sanyo 103F5508-70XE42 TEL Lithius Used 3
KLA-Tencor Quantox 64000 #088/KE10600-M Cooling Module used working 1
Sanyo Denki P20B13100FXSA2 Servo Motor TEL 5087-400478-15 Lithius DEV ASSY Used 17
Panasonic MFA090HA5NSC AC Servo Motor Assembly TEL Lithius DEV COAT Used Working 10
Panasonic MFA090HA5NSC AC Servo Motor Assembly TEL Lithius DEV COAT Used Working 8
CKD AMF-V-X1 Solution Valve TEL Tokyo Electron 5087-400486-15 Lithius DEV Used 4
CKD AMF-V-X1 Solution Valve TEL Tokyo Electron 5087-400486-15 Lithius DEV Used 4
CKD AMF-V-X1 Solution Valve TEL Tokyo Electron 5087-400486-15 Lithius DEV Used 2
Koganei F-AVP125-19W Pneumatic Valve Reseller Lot of 34 TEL Lithius Used 1
Koganei F-AVP070-6W Pneumatic Valve Reseller Lot of 27 TEL Lithius Used 1
Koganei F-AVP125-19W Pneumatic Valve Dual Block Lot of 85 TEL Lithius Used 1
Sanyo Denki 103F5508-70XE42 Stepping Motor StepSyn Lot of 14 TEL Lithius Used 2
TEL Tokyo Electron 5087-400475-16 Left Arm DEV ASSY Lithius Used Working 11
TEL Tokyo Electron 5087-400476-16 Right Arm DEV ASSY Lithius Used Working 15
AMAT Applied Materials 0100-91087 Sol/Fil EXT Interface PCB Card Issue F Used 6
SMC LG-96A-A1 Linear Actuator 103H7851-70E1 TEL Tokyo Electron Lithius Used 4
Omron EE-SX770A Photoelectric Switch Sensor Reseller Lot of 22 TEL Lithius Used 1
AMAT Applied Materials 0100-90650 Wheel Current Board Issue B Used Working 4
SMC CQSB25-DCG7964G-15 Compact Cylinder Reseller Lot of 8 TEL Lithius Used 1
AMAT Applied Materials 0100-94078 Argon/Oxygen Bleed and Charge Monitor PCB Used 6
Koganei F-SAVP070-8W Pneumatic Valve Reseller Lot of 6 TEL Lithius Used Working 1
CKD N4E0 Series 8-Port Pneumatic Manifold N4E010-MU Lot of 2 TEL Lithius Used 3
CKD N4E0 Series 13-Port Manifold TEL 5012-000086-11 Lithius Used Working 2
CKD N4E0 Series 13-Port Manifold TEL 5012-000086-11 Lithius Used Working 17
Keyence PZ2-41 Photoelectric Sensor PZ2-41 Reseller Lot of 12 TEL Lithius Used 1
CKD AMC-V-X51-DB AMC Assembly TEL 5012-000067-11 Reseller Lot of 4 Lithius Used 5
AMAT Applied Materials 0100-01844 Charge Voltage PCB Card Used Working 4
AMAT Applied Materials 0100-00970 Spin Window PCB Card Used Working 7
Hitachi DIO-02N Digital I/O Interface PCB Card Used Working 2
Hitachi AIO-05N Analog I/O Interface PCB Card Used Working 2
Hitachi EPD-05N Digital to Analog Converter PCB Card Used Working 1
TMP Shimadzu TMP-203M Turbomolecular Vacuum Pump Used Working 2
Ion Systems 5024(e)-CE Emitter Controller 5024 MKS Instruments Used Working 8
ZTE XMBW R9100 WiMAX Remote Radio Unit RRU 2610~2700Mhz Used Working 1
Axcelis Microwave Waveguide Assembly GAE GA3107 H3862 Fusion E53 Used Working 1
Edwards D37280700 Pump Display Terminal Module Controller Used Working 20
Edwards D37280700 Pump Display Terminal Module Controller Used Working 1
Opal 50312640100 ASA Assembly AMAT Applied Materials Used Working 1
A to Z Electronics 50312640000 ASA Assembly AMAT Applied Materials Used Working 1
A to Z Electronics 50312640000 ASA Assembly AMAT Applied Materials Used Working 4
Hitachi HT94219A Processor PCB Card PI01 Ver. A Used Working 4
Blue M OV-560A-2 Stabil-Therm Constant Temperature Cabinet Used Tested Working 1
Tokyo Keiso SFC-M Flowmeter Signal Converter Controller TEL Lithius Used Working 19
Tokyo Keiso SFC-M Flowmeter Signal Converter Controller TEL Lithius Used Working 14
Tokyo Keiso SFC-M Flowmeter Signal Converter Controller TEL Lithius Used Working 18
Hitachi Wafer Alignment Unit Vacuum Chuck M-712E Shallow Trench Etcher Used 1
Panasonic LD-601 Laser Line Sensor Sunx Hitachi M-712E Used Working 1
Panasonic LD-601 Laser Line Sensor Sunx Hitachi M-712E Used Working 1
KLA-Tencor 760-063145-00 Noncontact Measuring System Kaman SMU 9000-15N Used 1
TEL Tokyo Electron MA15605B SW-PANEL LED PCB FAP-1601-1202-0BF Lot of 5 Used 5
TEL Tokyo Electron HA-009 EXT DIO #02 PCB PHA-009-0 Lithius Used Working 11
TEL Tokyo Electron HA-009 EXT DIO #02 PCB PHA-009-0 Lithius Used Working 1
TEL Tokyo Electron OYDK-063 CONN ADH CHEM #02 PCB Board Lithius Used 11
TEL Tokyo Electron OYDK-060 I/O EXT CHEM #02 PCB Board Used Working 20
TEL Tokyo Electron OYDK-060 I/O EXT CHEM #02 PCB Board Used Working 1
Fujikin UPCM-4WS3-C13LF20-B1-HE Pressure Controller UPC 13.33kPa Used Working 2
MKS Instruments 123714-G1 GBROR InSitu Flow Verifier GBR1B24CR1 Used Working 1
MKS Instruments 41A12DGA2BA010 Baratron Pressure Switch Used Working 1
Daihen AMN-30F-V RF Auto Matcher TEL Tokyo Electron 3D80-000142-V8 Used Working 5
Daihen AMN-30F-V RF Auto Matcher TEL Tokyo Electron 3D80-000142-V8 Used Working 1
Koganei F-AVP070-4W Pneumatic Valve Reseller Lot of 67 TEL Lithius Used 1
Koganei F-AVP070-3W Pneumatic Valve Reseller Lot of 72 TEL Lithius Used 1
OnTrak Systems 12-1000-002 Dual DC Motor Controller PCB Card DSS-200 Used 1
OnTrak Systems 12-1000-017 Dual DC Motor Controller PCB Card DSS-200 Used 1
Lam Research 12-1000-002 Dual DC Motor Controller Rev. E PCB Card DSS-200 Used 1
Gespac MEM-12D PCB Card GESMEM-12D 9602 OnTrak DSS-200 Used Working 1
OnTrak 22-1000-006 DSS Interface 22-5100-004 SMIF-ARM PCB Board DSS-200 Used 1
Reliance Electric 0042-6637 PWM Servo Drive 0016-6458 OnTrak DSS-200 Used 1
Sola 86-24-310 Component Type Custom Rectifier 264-37254-0200 OnTrak DSS-200 Used 1
Todd Products SC48-8F Power Supply 69302230 OnTrak DSS-200 Used Working 1
Digital Power USC150-401 Power Supply OnTrak DSS-200 Used Working 1
SMC PF2W504-03-1 Water Flow Switch Assembly Reseller Lot of 15 Used Working 3
SMC PF2W200-M Flow Switch 4-Channel Reseller Lot of 23 Used Working 1
CKD N3E0660-M Solenoid 2-Valve Manifold Reseller Lot of 9 N4EO Used Working 4
SMC VXA2 131 Air Operated Valve Assembly Reseller Lot of 2 Used Working 19
SMC CDQSB16-75DC Pneumatic Air Cylinder JB16-4-070 Lot of 8 Used Working 7
Savillex 1000 ML Chemical Vessel TEL Tokyo Electron Lithius Used Working 20
Oriental Motor UDX5114N-E 5-Phase Servo Driver Super Vexta Used Working 1
Panasonic ADKA100BPTADA AC Servo Drive Unit Used Working 3
AMAT Applied Materials 0040-55456 300mm Quartz Bell Jar 633007491-F Copper Used 1
Nikon 4S015-227 Processor Control Board PCB NK8601A Used Working 4
Nikon 4S015-227 Processor Control Board PCB NK8601A Used Working 2
Nikon 4S018-776 Y Axis Interface Board Y_I/F PCB Used Working 1
Nikon 4S018-775-1 X Axis Interface Board X_I/F PCB Used Working 1
Nikon 4S019-029-1 Prealigner 1 Interface Board PRE1_I/F PCB Used Working 1
Novellus 26-167697-00 I/O Interface Gamma 2130 PCB 03-167697-00 Used Working 1
TEL Tokyo Electron 3D05-450040-V1 Glass Base WINDOW-PF 3D05450040V1 New 2
Koganei F-AVP070-4W Pneumatic Valve Reseller Lot of 8 TEL Lithius Used 1
Savillex 1000 ML Chemical Vessel No Mounting Bracket TEL Lithius As-Is 1
TEL Tokyo Electron 504W Reservoir Tank Flow Control Assembly Lithius Used 2
TEL Tokyo Electron 509D Reservoir Tank Flow Control Assembly Lithius Used 1
CKD SCPD2-L1655 Pneumatic Cylinder Reseller Lot of 8 TEL Lithius Used Working 1
Nagano Keiki GC30-111 Pressure Sensor Reseller Lot of 3 Used Working 1
Tokyo Keiso UCUF-04B/ZT Pump Assembly Reseller Lot of 30 TEL Lithius Used 2
Tokyo Keiso UCUF-06B/ZT Pump Assembly Reseller Lot of 30 TEL Lithius Used 2
TEL Tokyo Electron 3D05-450039-V1 Glass Base WINDOW-P- 3D05450039V1 New 2
Matsushita Electric AIGT3100B 5.7" NAiS Programmable Display GT30 Used Working 1
Nikon 4S008-152 Isolated DC/DC Converter Board PCB PRE2 PWSEP Used Working 1
Philips 4022-192-70585 DCN/SD PCB Card FEI Micrion Used Working 1
Nikon 4S018-792- Processor Board PRE2 I/O-2 PCB 4S018-792-D Used Working 1
Nikon 4S018-869 Processor Board PRE2 I/0-1A PCB Used Working 2
Nikon 4S018-766 Driver Interface Board PCB IU-DRV5 H=10.0mm Used Working 1
Nikon 4S018-766 Driver Interface Board PCB IU-DRV5 H=10.0mm Used Working 1
Nikon 4S013-310 Interconnect Fuse Board PCB BLX3 Used Working 1
KLA-Tencor 0041186-003 AIT UV 2D PSF DRVR Board PCB Rev. AA Used Working 4
Agilent 10890-68002-01-0305-00609 Dual Laser Axis VME PCB Card 10898A Used 1
Tokyo Keiso UCUF-06B/ZT Pump Assembly UCUF-04B/ZT Lot of 13 TEL Lithius Used 1
Pall PHDC1H23 Photoresist Filter PhotoKleen Lot of 3 New 1
Pall PHDC1H23 PhotoKleen Photoresist Filter Dummy Capsule Used Working 15
Pall PHDC1H23 PhotoKleen Photoresist Filter Dummy Capsule Used Working 95
Pall PHDC2H23 PhotoKleen Photoresist Filter Dummy Capsule Used Working 8
Pall PHDC2H23 PhotoKleen Photoresist Filter Dummy Capsule Used Working 33
CKD PMM20-8BUR-HG-TC Pneumatic Valve Photoresist Lot of 11 TEL Lithius Used 5
CKD PMM20-15BUR-HG-TC Pneumatic Valve Photoresist Lot of 7 TEL Lithius Used 1
CKD PMM20-15BUR-HG-TC Pneumatic Valve Photoresist Lot of 7 TEL Lithius Used 4
CKD TMD002-8BUR-TC Pneumatic Stop Valve Reseller Lot of 8 TEL Lithius Used 15
CKD TMD002-8BUR-TC Pneumatic Stop Valve Reseller Lot of 8 TEL Lithius Used 1
Surpass MV-1/4F60Y Pneumatic Stop Valve Reseller Lot of 8 TEL Lithius Used 2
SIIG JJ-P04621-S7 4-port Multiport Serial Adapter P030-62 Used Working 4
Chamber Performance Services 633005408-F Aluminium Shield Copper Exposed Used 1
Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Y-LB Used Working 1
Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Z-LF Used Working 1
Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Z-RF Used Working 1
Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Z-RB Used Working 1
Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Y-RB Used Working 1
Mitsubishi MR-J2S-10B-S087 AC Servo MELSERVO TEL Tokyo Electron PR300Z Used 8
Mitsubishi MR-J2S-20B-S087 AC Servo MELSERVO TEL Tokyo Electron PR300Z Used 12
Digital UF-EXX01-TEL1 Flat Panel Extender Unit TEL PR300Z Used Working 2
Mitsubishi MELSEC-Q PLC Control Assembly TEL Tokyo Electron PR300Z Used Working 3
Medien UA026/814Z System Control Computer TEL Tokyo Electron PR300Z Used Working 2
TEL Tokyo Electron 1B80-002389-11 DN Board Assembly DI80D080 PR300Z Used Working 2
Mitsubishi Q173DV PLC SSCNET Channel Distribution PCB TEL PR300Z Used Working 1
Komatsu Electronics 20000310 Temperature Controller AIC-7-6-T3 Used Working 3
Komatsu Electronics 20000310 Temperature Controller AIC-7-6-T3 Used Working 6
Komatsu Electronics 20000310 Temperature Controller AIC-7-6-T3 Used Working 4
TEL Tokyo Electron Cassette Loader Assembly PR300Z Carrierless BEOL Used Working 3
Mitsubishi MR-J2S-60B-S087 AC Servo MELSERVO TEL Tokyo Electron PR300Z Used 3
Mitsubishi MR-J2S-350B-ED087 AC Servo MELSERVO TEL PR300Z Copper Exposed Used 4
Fuji Electric FRN2.2E1S-2J Inverter FVR-E11 TEL Tokyo Electron PR300Z Used 1
Omron S8T-DCBU-01 DC Backup Block Power Supply Set S8TS-06024 TEL PR300Z Used 3
SUNX Sensors FX-301-F7 Photoelectric Sensor FX-300 Reseller Lot of 10 Used 2
SUNX Sensors FX-301-F7 Photoelectric Sensor FX-300 Reseller Lot of 10 Used 2
Keyence FS-V21R Digital Amplifier Fiberoptic Sensor Reseller Lot of 5 Used 3
Keyence FS-V21R Digital Amplifier Fiberoptic Sensor Reseller Lot of 5 Used 7
Fujikura RP-TKER-4-2(B) Manual Pressure Regulator Lot of 4 TEL Lithius Used 1
Fujikura RP-TKER-4-2(B) Manual Pressure Regulator Lot of 4 TEL Lithius Used 6
Fujikura RP-TKER-4-2(B) Manual Pressure Regulator Lot of 3 TEL Lithius Used 1
Pall PHDC11H11B PhotoKleen Photoresist Filter Assembly Lot of 3 TEL Lithius Used 3
Granville-Phillips Convectron Gauge Cables 113084001 1
Yamatake CME0451 Pneumatic Valve N2 Air 20L/min Lot of 11 TEL Lithius Used 1
TEL Tokyo Electron Chemical Vessel Assembly Clean Track Lithius Used Working 3
CKD N4E0 Series 14-Port Pneumatic Manifold N3E0660-M TEL Lithius Used Working 10
CKD N4E0 Series 9-Port Pneumatic Manifold N3E0660-M TEL Lithius Used Working 10
CKD N4E0 Series 6-Port Pneumatic Manifold N3E0660-M Lot of 2 TEL Lithius Used 5
CKD N4E0 Series 10-Port Pneumatic Manifold N4E010-M TEL Lithius Used Working 10
TEL Tokyo Electron HDMS Proccess Reservoir Tank Lithius Used Working 21
TEL Tokyo Electron Time Delay Relay Assembly Omron H3DE-M2 PR300Z Used Working 1
Omron H3CR Analog Timer Lot of 4 H3CR-H8L H3CR-A8 TEL Tokyo Electron PR300Z Used 1
TEL Tokyo Electron CSV2 6-Port Manifold SMC SQ1231DY-5-C4-Q PR300Z Used Working 3
TEL Tokyo Electron CSV3 6-Port Manifold SMC SQ1231DY-5-C4-Q PR300Z Used Working 3
TEL Tokyo Electron CSV6 10-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working 2
TEL Tokyo Electron SVC2 7-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working 2
TEL Tokyo Electron SVC2 7-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working 1
TEL Tokyo Electron SVC1 11-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working 2
TEL Tokyo Electron SVC1 11-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working 1
TEL Tokyo Electron SVC6 10-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working 3
TEL Tokyo Electron SVC6 10-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working 1
TEL Tokyo Electron SVC3 11-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working 2
TEL Tokyo Electron SVC3 11-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working 1
TEL Tokyo Electron SVC4 7-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working 2
TEL Tokyo Electron SVC4 7-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working 1
TEL Tokyo Electron SVC5 17-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working 3
TEL Tokyo Electron I/O Chamber 5-Port Manifold SMC SQ1331RY-5-C4-Q PR300Z Used 3
TEL Tokyo Electron VP/VI 4-Port Manifold SMC SQ1131Y-5-C4-Q PR300Z Used 2
TEL Tokyo Electron VP/VI 4-Port Manifold SMC SQ1131Y-5-C4-Q PR300Z Used 1
Sanken Electric HWA300-24C Power Supply TEL Tokyo Electron PR300Z Used Working 4
TEL Tokyo Electron PR300Z Wafer Spin Motor Assembly BEOL Cleaning System Used 3
TEL Tokyo Electron PR300Z Wafer Robot Assembly 300mm MC5552-801-001 Used Working 1
TEL Tokyo Electron PR300Z Wafer Robot Assembly 300mm MC5552-801-001 Used Working 1
TEL Tokyo Electron PR300Z Right Wafer Mapping Assembly M-DW1 System Used Working 1
TEL Tokyo Electron PR300Z Right Wafer Mapping Assembly M-DW1 System Used Working 2
TEL Tokyo Electron PR300Z 300mm Wafer Spin Cassette BEOL Copper Used Working 2
Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.31 OPTISTATION 3 Used 1
Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.31 OPTISTATION 3 Used 2
Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.31 OPTISTATION 3 Used 2
TEL Tokyo Electron PR300Z 300mm Wafer Pincette End Effector Copper Used Working 3
Asyst Technologies 9700-9961-01 RFID Reader Advantag ATR Gateway Used Working 1
Yaskawa YR-CRJ3-A00 Robot MOTOMAN TEL Tokyo Electron PR300Z Used Working 1
Mykrolis FC-2900V Mass Flow Controller 5 SCCM CO2 Used 4
Yaskawa ERCR-NS00-A210-E Robot Controller NXC100 Used Working 1
Yaskawa ERCR-NS00-A210-E Robot Controller NXC100 Used Working 1
TEL Tokyo Electron PR300Z 300mm Wafer Rack Assembly BEOL Copper Exposed Used 4
EBM Papst 505-3004 System 3000 24&48V Fan Monitor PCB Reseller Lot of 9 Used 1
Setra 2241100PAAA33D9JAP Pressure Transducer 224 Lot of 7 Used Working 1
Wasco P400V-25W3A-X/9671 Vacuum Pressure Switch Lot of 4 Used Working 1
Wasco SP-129-81W3A-X/9698 Vacuum Pressure Switch Lot of 3 Used Working 2
Nagano Keiki ZT17 Pressure Sensor Reseller Lot of 7 Used Working 3
Omron H3DE-M2 Time Delay Relay Lot of 8 TEL Tokyo Electron PR300Z Used Working 1
TDK RKW24-6R5C Power Supply Lot of 2 TEL Tokyo Electron PR300Z Used Working 2
TDK RKW24-6R5C Power Supply Lot of 3 TEL Tokyo Electron PR300Z Used Working 1
TDK-Lambda RTW12-4R3C Power Supply Lot of 2 TEL PR300Z Used Working 3
TDK-Lambda RTW24-2R2C Power Supply Lot of 3 TEL PR300Z Used Working 1
TDK-Lambda RTW24-4R2C Power Supply Lot of 3 TEL PR300Z Used Working 1
Agilent 10898-68002-23-04 Dual Laser Axis VME PCB Card 10898A 10898-60002 As-Is 1
AMAT Applied Materials 3700-01091 O-Ring Viton 2-389-S Reseller Lot of 4 New 1
AMAT Applied Materials 3700-01170 O-Ring Viton 2-388-S Reseller Lot of 4 New 3
Sumitomo Electric 52131001A D/A Board PCB Card DA-Z Nikon NSR Used Working 2
Sumitomo Electric 52131001C Drive Board DRIVE-Z3 PCB Card Nikon NSR Used Working 1
Sumitomo Electric 52131001C Drive Board DRIVE-Z3 PCB Card Nikon NSR Used Working 2
Sumitomo Electric 52131001C Drive Board DRIVE-Z12 PCB Card Nikon NSR Used 2
Sumitomo Electric 52131020C Backplane Board PCB Nikon NSR Used Working 1
Power Source PFE24HSX-U Switching Power Supply 24VDC 240 Watts Used Working 5
KLA-Tencor 0041186-003 AIT UV 2D PSF DRVR Board PCB Rev. AB Used Working 2
KLA-Tencor 0040615-002 LCD ROW COL Driver Lens Assembly Rev. AC Used Working 2
KLA-Tencor 0033116-002 Lens Alignment Assembly 0033233-001 AIT UV Used Working 1
KLA-Tencor 0033116-002 Lens Alignment Assembly 0033233-001 AIT UV Used Working 4
TEL Tokyo Electron 2-Port Pneumatic Manifold SQ1231DY-5-C4-Q PR300Z Used 2
TEL 1B80-002389-11 DN Board Assembly DI80DO80 1B80-002394-11 PR300Z Used Working 3
TEL 1B80-002390-11 DN Board Assembly DI48DO64 1B80-002395-11 PR300Z Used Working 4
TEL 1B80-002390-11 DN Board Assembly DI48DO64 1B80-002396-11 PR300Z Used Working 3
TEL 1B80-002389-11 DN Board Assembly DI80DO80 1B80-002393-12 PR300Z Used Working 3
TEL Tokyo Electron 1B80-001527 PCB A/D CN BOARD PR300Z Lot of 3 Used Working 1
Mitsubishi CP30-BA Circuit Protector 2-Pole 5A Reseller Lot of 9 Used Working 1
Mitsubishi CP30-BA Circuit Protector 2-Pole 3A Reseller Lot of 6 Used Working 1
Mitsubishi CP30-BA Circuit Protector 2-Pole 10A Reseller Lot of 6 Used Working 1
Mitsubishi CP30-BA Circuit Protector 3-Pole 10A Reseller Lot of 6 Used Working 1
Mitsubishi CP30-BA Circuit Protector 3-Pole 15A 30A Lot of 6 Used Working 1
Fuji Electric FRN2.2E1S-2J FRENIC-Multi Inverter Used Working 1
Fuji Electric EFL-4.0E11-2 3 Phase RFI Filter Reseller Lot of 2 Used Working 1
Omron H3CR-H8L Timer Relay H3CR-A8 Reseller Lot of 4 Used Working 1
Schumacher 1730-3013 Vacuum System Control Panel Assembly Used Working 5
Muse Measurements M9600-100 Loop Powered Scale Base 100 Pounds Used Working 7
Muse Measurements M9600-100 Loop Powered Scale Base 100 Pounds Used Working 9
SMC XLAQ-40-X925 Vacuum Angle Isolation Valve TEL 3D80-002107-V1 Used Working 7
IDEC PS5R-G24 24V DC Power Supply Reseller Lot of 4 Used Working 1
Omron S8PS-05005C 5V DC Power Supply Used Working 3
Cosel K150AU-24 24V Power Supply Reseller Lot of 3 Used Working 1
Cosel R150U-24 24V Power Supply Reseller Lot of 4 Used Working 1
Omron S8PS-10024C 24V DC Power Supply Used Working 3
Mean Well DR-75-12 12V DC Power Supply Reseller Lot of 4 Used Working 1
Hermos TLG-I1-1000-S0-00EB Transponder Reader TLG-RS232 Used Working 3
Brooks TLG-I2-FL6M-01 Transponder Reader TLG-RS232 Used Working 3
Sanyo VCC-5884 Color CCD Camera Set of 3 Used Working 1
KLA-Tencor 0024480-000 Single Lens 0019774-000 Left Assembly AIT Fusion UV Used 1
KLA-Tencor 0024480-000 Single Lens 0019774-000 Left Assembly AIT Fusion UV Used 1
KLA-Tencor 0022418-000 Reflector Lens Rev. AB AIT Fusion UV Used Working 1
KLA-Tencor 0022418-000 Reflector Lens Rev. AB AIT Fusion UV Used Working 3
SMC 3D80-000055-V1 1-Port Pneumatic Manifold Lot of 4 SZ3A60K-5LOZ-C6-X31 Used 1
SMC 3D80-000057-V1 2-Port Pneumatic Manifold Lot of 3 SZ3A60K-5NLOZ-M-X31 Used 1
SMC 3D80-000054-V1 4-Port Pneumatic Manifold Lot of 3 SZ3A60K-5LOZ-C4-X31 Used 2
SMC 3D80-000053-V1 5-Port Pneumatic Manifold Lot of 2 SZ3260K-5LOZ-C4-X31 Used 2
SMC 3D80-000053-V1 5-Port Pneumatic Manifold Lot of 2 SZ3260K-5LOZ-C4-X31 Used 3
SMC 5-Port Pneumatic Manifold Lot of 2 SZ3360-5NLOZ-C6 Used Working 11
CKD N4S0-T56 16-Port Pnuematic Manifold OPP3-1H Lot of 2 Used Working 1
CKD N4S0-T56 8-Port Pnuematic Manifold OPP3-1H Lot of 2 Used Working 1
CKD N4S0-T56 15-Port Pnuematic Manifold OPP3-1H N4S010 Used Working 1
Motoyama SUSF316L 3-Way Diaphragm Valve Normally Closed 10K - 1/4 Used Working 2
Motoyama SUSF316L 3-Way Diaphragm Valve Normally Open 10K - 1/4 Used Working 1
Motoyama SUSF316L Pneumatic Valve Normally Closed 10K - 1/4 Lot of 5 Used Working 1
MDC 311074-1010 Pneumatic Angle Valve Used Working 1
Nikon LS1 Optical Laser Sensor Head Set of 3 LS1-1 LS1-2 LS1-3 NSR Series Used 1
Nikon LS2 Optical Laser Sensor Head Set of 3 LS2-1 LS2-2 LS2-3 NSR Series Used 1
Nikon LS3 Optical Laser Sensor Head Set of 3 LS3-1 LS3-2 LS3-3 NSR Series Used 1
Nikon LS4 Laser Sensor Set of 3 LS4-1 LS4-2 LS4-3 NSR Series Used Working 1
Nikon 2S700-598 Memory Board MCR PCB Card 2S014-038-2 OPTISTATION 3 Used Working 1
Nikon 2S700-598 Memory Board MCR PCB Card 2S014-038-2 OPTISTATION 3 Used Working 3
Nikon 2S700-598 Memory Board MCR PCB Card 2S014-038-2 OPTISTATION 3 Used Working 2
Nikon 2S700-598 Memory Board MCR PCB Card 2S014-038-2 OPTISTATION 3 Used Working 2
Nikon LS5 Laser Sensor Set of 3 LS5-1 LS5-2 LS5-3 NSR Series Used Working 1
Sony CMA-D2 Camera Adaptor Power Supply Reseller Lot of 2 Used Working 1
Watec LCL-903K Color CCD Camera with Kowa 3.5-10.5mm Lens Used Working 1
TeleFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working 2
TeleFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working 4
TeleFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working 5
TeleFrank TZP80-2405/S AC-DC Converter TZP 80 Used Working 8
Fujikin 053801 Pneumatic Valve Normally Closed 316L-P Lot of 5 Used Working 8
Fujikin 053804 Pneumatic Valve Normally Open 316L-P Lot of 3 Used Working 1
Fujikin 059577 Pneumatic Valve Normally Closed 316L-P Lot of 4 Used Working 1
Asyst 9700-6584-01 Advan Tag RFID Reader ATR-9000 Used Working 10
SMC ZSE30-01-65-M Pressure Switch Reseller Lot of 14 Used Working 1
AMAT Applied Materials 0190-24484 Transponder Reader TLG-I2-AMAT-R1 Used Working 10
TDK S2091-86-001 Load Port Power Supply TAS300 Used Working 1
TDK S2091-86-001 Load Port Power Supply TAS300 Used Working 2
TDK S2091-86-001 Load Port Power Supply TAS300 Used Working 1
TDK S2091-86-001 Load Port Power Supply TAS300 Used Working 22
Densi-Lambda JWS50-24/A Power Supply Reseller Lot of 2 Used Working 2
Nemic-Lambda NNS50-15 Power Supply Reseller Lot of 2 Used Working 1
TDK-Lambda HWS150-5A 5V Power Supply Reseller Lot of 2 Used Working 1
Cosel PBA50F-15 15V Power Supply Reseller Lot of 2 Used Working 1
Dalsa TA-C1-04K30-50E Video Controller  Used Working 1
Nemic-Lambda NNS15-5 Linear Power Supply Used Working 1
Nikon 65259 Revo Controller Hitachi I900SRT Used Working 1
Kokusai CX1103M Power Supply/Inverter D3E01317 Used Working 4
Inficon 399-001 Vacuum Switch VSA100A TEL 3D86-000485-V4 Used Working 10
Inficon 399-001 Vacuum Switch VSA100A TEL 3D86-000485-V4 Used Working 7
Nikon SPA452A WYL Linear Motor Controller 4S587-469-3 Used Working 4
Nikon SPA451B W X Linear Motor Controller 4S588-463 Used Working 5
Hitachi ZVV020-2 Processor Board PCB Card I-900 CHPCMP ZVV020 I-900SRT Used 36
Hitachi ZVV022 Processor PCB Card I-900 GRYCMP2 I-900SRT Used Working 8
Hitachi ZVV016 Processor PCB Card I-900 EXBF2 I-900SRT Used Working 4
Mitsubishi MR-J2S-40A-S12 AC Servo Amplifier MELSERVO Drive Used Working 1
Axcelis Technologies 531061 E84 Interface Board Backplane PCB 531051 Used 2
Horiba STEC SEC-Z512MGX Digital Mass Flow Device Z500 100 CCM CHF3 New Surplus 2
Matsusada Precision HJPZ-1B-HS-V2 High Voltage Power Supply Piezo Driver Used 1
MKS Instruments 99E1694 Pneumatic Angle Valve Used Working 2
Hitachi ZVV023 Processor PCB Card I-900 CELCMP2 I-900SRT Used Working 4
Hitachi ZVV032 Processor PCB Card I-900 VINP2 I-900SRT Used Working 8
Hitachi ZVV034 Processor PCB Card I-900 VEDIT2 I-900SRT Used Working 4
Hitachi ZVV031 Processor PCB Card I-900 EXBF3 I-900SRT Used Working 2
Hitachi ZVV036 Processor PCB Card I-900 CLOCK1_2 I-900SRT Used Working 1
Hitachi ZVV035 Processor PCB Card I-900 CLOCK3_2 I-900SRT Used Working 1
Hitachi ZLJ070 Processor PCB Card I-900 CHPMEM2 I-900SRT Used Working 4
Hitachi 571-7207 SRSEQ11 PCB Board I-900SRT Used Working 1
Hitachi 571-7204 STSEQ11 Interface Board PCB I-900SRT Used Working 1
Hitachi ZVV037 Control Board PCB Card I-900 HK3 MONIT2 I-900SRT Used Working 1
Hitachi 571-7016 Processor PCB Card COUNTER0 I-900SRT Used Working 1
Hitachi 571-7511(b) Processor PCB Card AFADC00 I-900SRT Used Working 1
Hitachi ZVV021 Control Board PCB Card I-900 HK3 TRANDEF I-900SRT Used Working 1
Hitachi ZVV029 VME Interface Board I-900 EXBF-VME2 PCB Card I-900SRT Used 2
Hitachi 571-7025 Processor PCB Card AFCNT00 I-900SRT Used Working 1
Densan DVEVA-SH7750 ES4 VME Interface PCB Card I-900SRT Used Working 3
Hitachi 571-7028 Processor PCB Board AFBUS00 I-900SRT Used Working 1
Hitachi 571-7201 Processor PCB Board OPSEQ11 I-900SRT Used Working 1
Sumitomo Electric 52131020C Backplane PCB Board 52110507 Nikon NSR Used Working 1
TEL Tokyo Electron CPC-T0001A-13 Chemical I/O PPC-T0006A-11 2981-600001-12 Used 2
Tencor Instruments 294420 Motor Distribution S8000 PCB Board Rev. AC KLA Used 1
Cosel LEA150F-24 Power Supply 24V Used Working 1
Kokusai SPCONV10A Converter Interface Module Used Working 1
DNS Electronics LPD Module FC-3000 Wet Station Used Working 1
A to Z Electronics 70512360100 SDT Module Used Working 1
Ion Systems NilStat 5024(e) Controller Used Working 1
Panasonic MSD4ACA1XX AC Servo Driver Minas Used Working 2
Nikon 4S001-087-DENGEN8200 Power Supply Board Used Working 4
Festo CPV10-GE-MP-8 8-Port Pneumatic Manifold CPV-10-VI Used Working 3
Nagano Keiki GC84-ID9 Digital Indicator Lot of 8 Used Working 1
SMC 3D80-000057-V1 2-Port Pneumatic Manifold SZ3A60K-5NLOZ-M-X31 Used Working 1
CKD N4S0-T50 5-Port Pneumatic Manifold Reseller Lot of 4 Used Working 1
Festo CPV10-GE-MP-4 4-Port Pneumatic Manifold CPV-10-VI Used Working 1
SMC 10-Port Pneumatic Manifold VQ1200Y-5 Used Working 1
SMC 11-Port Pneumatic Manifold SY3140-5LZ Used Working 1
Nemic-Lambda EWS100-24 Power Supply 24V Used Working 1
Nemic-Lambda RWS30A-12/A Power Supply 12V Used Working 1
Nemic-Lambda RWS30A-24/A Power Supply 24V Used Working 1
Yamatake FRS100C100 Flame Detector Relay FRS100 Used Working 1
Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-4 v2.23 OPTISTATION 3 Used 4
Bender RCM470LY-7121 Risidual Current Monitor Reseller Lot of 4 Used Working 1
Nissei Corp GF12N005 -UTML25NN GTR 3-Phase Induction Motor Used Working 2
Nissei Corp UGFM-12-5-T25 GTR 3-Phase Induction Motor Used Working 1
Nissei F2F18L160-UTBR90NNX GTR 3-Phase Induction Motor 40 Used Working 3
Nissei F2F18L160-UTBR90NNX GTR 3-Phase Induction Motor 40T6 Used Working 3
Nissei Corp F2S15N030-UTBR90NN 3-Phase Induction Motor Used Working 7
Shimpo-Nidec VRSF-PB-5B-50 Servo Motor ABLE Reducer Used Working 1
Omron R88M-W75030T-B AC Servo Motor NES100W-N15CXN16C Used Working 1
Omron R88M-W20030T-S2 AC Servo Motor Used Working 2
Yaskawa SGMAH-04A1A-AD21 AC Servo Motor Used Working 1
Edwards NRD75100H Helios Head Assembly Combustion Chamber Used Working 1
Matsushita Seiki M-795 Pellicle Stand Alone Measurement System Used Working 1
Nikon 2S700-386 Microscope Control Keypad 2S070-031-4 OPTISTATION 3 Used Working 3
Nikon 2S700-552 Microscope Keypad PCB Board 2S070-043 OPTISTATION 3 Used Working 3
Nikon 2S700-385 Stage Focus EMO Keypad 2S070-032-2 OPTISTATION 3 Used Working 3
Grundfos CRN5-10 B-P-G-E-HQQE Centrifugal Pump A96581463P20539 90LA2-24FT115-C Used 1
Grundfos CRN5-10 A-P-G-E-HUUE Centrifugal Pump A96511917P10410 90CB-2-FT115-C Used 1
Grundfos CRN5-10 A-P-G-E-HUUE Centrifugal Pump A96511917P10430 90CB-2-FT115-C Used 1
SVG Silicon Valley Group 99-80333-01 End Station CPU 03-80333-01 PCB 90S Used 4
Sanyo Denki PMM-BD-57025-1 Servo Driver Assembly TEL Tokyo Electron P-8 Used 8
SVG Silicon Valley Group 99-80270-01 Sensor Multiplexor PCB Board 90S Used 1
SVG Silicon Valley Group 99-80270-01 SYS90 System I/O Board PCB Rev. G 90S Used 1
SVG Silicon Valley Group 99-80271-01 Sensor Multiplexor PCB Board 90S DUV Used 1
Nikon 2S700-580 SCPU Control PCB Card 2S014-033-3 OPTISTATION 3A Used Working 1
Nikon 2S700-582-1 TCCNT Control PCB Card 2S014-035-5 OPTISTATION 3A Used Working 2
Nikon 2S700-582-1 TCCNT Control PCB Card 2S014-035-5 OPTISTATION 3A Used Working 1
Nikon Power Unit Roll Around Power Supply OPTISTATION 3 Used Working 1
Nikon OPTISTATION 3 Microscope Turret with Light Source Assembly Used Working 3
ABB Control EH160C-N 3-Phase Contactor 24V Coil Used Working 1
TEL P-8 Wafer Prober 200mm Wafer Indexer Assembly working 6
SVG Silicon Valley Group 99-80308-01 DC Distribution MCE Mount PCB 90S Used 1
SVG Silicon Valley Group 99-80304-01 Handler Interface Board PCB 90S DUV Used 1
SVG Silicon Valley Group 99-80396-01 Nikon Interface PCB Assembly 90S DUV Used 1
Electroglas 243015 Analog to Digital Selector Board PCB 243014A Used Working 2
Medtronic Micro-Rel 3312784 VTI Hamlin Accelerometer PCB Card 100897-1 Used 1
Electroglas 100020 Interface Control Board PCB Card 10019A Used Working 1
Electroglas 100016 Prober Cycle Control Board PCB Card 100015C Used Working 1
Electroglas 100012 Setup & Auto SEQ Control PCB Card 100011C Used Working 1
Electroglas 100936 Adaptive "Z" Controls PCB Card 100935 Used Working 1
Electroglas 102676 Ramp Length & Velocity Control PCB Card 102675 Used Working 1
Electroglas 100040-3 Ramp Slope and Align Load Control PCB Card 1000395 Used 1
Electroglas 100004 Single Axis Pulse Control PCB Card 100003 Used Working 2
Electroglas 242840 Multifunction Joystick Controller Used Working 1
Olympus SZ Stereoscopic Zoom Microscope Head 0.7-4X G20X Support Block Used 1
Oriental Motor PH266L-31 2-Phase Stepping Motor Vexta Used Working 4
Brooks Automation 015-0804-01 Wafer Aligner Gimbal Assembly Used Working 2
Irvine Optical UG LDF ELV Connection Board PCB Alphanetics Lot of 4 Used 1
Irvine Optical 601999 XYZT UNIV Sensor Connector Board PCB 601995 Used Working 1
Irvine Optical Company BLDST1 RVC Connection Board PCB Used Working 2
Irvine Optical Company BLCTL1 RVC Time Delay Board PCB CNS-35-92 Used Working 2
Integrated Power Designs SRW-100-1008 Power Supply Reseller Lot of 2 Used 1
Oriental Motor PH265L-04 2-Phase Stepping Motor Vexta Used Working 4
AMAT Applied Materials 0010-22567 CPI-VCM Chamber Source 3 Missing Parts As-Is 1
Deublin 957-600 Pneumatic Motor AMAT Applied Materials 0190-01272 Used Working 2
AMAT Applied Materials 0090-01312 300mm Source Rotation Motor Assembly Used 2
AMAT Applied Materials 0021-11382 CPI-VCM Chamber Source 3 Magnet Assembly Used 1
Hitachi BBS208-4 System Interface LED Display Connection Board PCB Used Working 1
AMAT Applied Materials 0100-09126 Remote Wiring Distribution Board Panel Used 1
AMAT Applied Materials 0226-30332 Modified N2-DRYVAC Distribution Board PCB Used 1
Matsushita AIGT3100B NAiS Programmable PLC System FP0-C14 FP0-E16 FP0-A21 Used 2
AMAT Applied Materials 0100-09040 7 Relay SSR AC Control Board PCB Used Working 1
Red Lion Controls GL300T002400 Operator Control Panel GL300T Used Working 1
SVG Silicon Valley Group Operator Control Panel 90S DUV System ASML Used Working 1
SVG Silicon Valley Group SV99-43636-04 Keyboard Panel 90S DUV System ASML Used 1
IBM 57F2705 SBC Single Board Computer 57F2434ESD PCB Card SVG 90s DUV Spare 1
IBM 57F2705 SBC Single Board Computer 57F2434ESD PCB Card SVG 90s DUV Spare 1
Texas Microsystems 10813 PCA Backplane BM7-AT 7AT Slots SVG 90S DUV Used Working 1
Texas Microsystems 10813 PCA Backplane BM7-AT 7AT Slots SVG 90S DUV Used Working 1
Nikon BD PlanApo 40/0.80 Microscope Objective 210/0 OPTISTATION 3 Used Working 3
Nikon M Plan 2.5 0.075 Microscope Objective 210/0 OPTISTATION 3 Used Working 3
TDK MSE280D Power Supply PCB Card 2EA00E280 Nikon 4S001-106-1 Used Working 1
Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.23 OPTISTATION 3A Used 1
Nikon 2S701-009 NTP-NMC-T PCB Card 2S020-061-1 V1.08 OPTISTATION 3 Used Working 2
Nikon 2S700-580 SCPU Control PCB Card 2S014-033-3 V1.21 OPTISTATION 3 Used 2
Nikon KAB11040/3201-2 2S017-394-2 CCD-I/F PCB 4S018-546 OPTISTATION 3 Used 1
TEL Tokyo Electron P-8 Camera Assembly Sony XC-75 Kowa GFZ-2160 Used Working 4
Nikon 2S003-041 MIC-I/F PCB Board 4S003-058 OPTISTATION 3 Used Working 1
Nikon KAB11110/3301-0 AF-CCD Auto Focus Microscope Module OPTISTATION 7 Used 1
Nikon VS-MOT Auto Focus Microscope Motor LA16G324B50MC OPTISTATION 7 Used 1
Nikon Shutter Assembly AS-MOT AS-POT Auto Focus Microscope OPTISTATION 7 Used 1
Nikon KAB11005-0149 200mm Wafer Inspection Stage Assembly OPTISTATION 7 Used 1
Nikon BMU-3 Optical Lens Assembly OPTISTATION 7 Reseller Lot of 2 Untested As-Is 1
Nikon BMU-2 BMU-EXH3 Optical Mirror and Lens Assembly OPTISTATION 7 Used Working 2
AVED AV545-ISA-ADTDK0189 Video Processor Board AV54 PCB SVG 90S DUV Used Working 1
Nikon 2S700-581 System Control PCB SCNT Optistation 3 Used Working 2
Nikon 2S700-581 System Control PCB SCNT Optistation 3 Used Working 2
Nikon 2S700-581 System Control PCB SCNT Optistation 3 Used Working 11
Oriental Motor PK564AW-A44 5-Phase Stepping Motor Vexta Used Working 5
Hitachi SEQ Box Power Module I-900SRT Used Working 1
Yaskawa XU-DL1110 Robot Power Supply Module Hitachi I-900SRT Used Working 1
Mitsubishi HC-MF23G1-UE Servo Motor Takamura K9005 B Gearhead Used 1
ABB CP-24/1.0 Switching Power Supply 1SVR423418R0000 Reseller Lot of 3 Used 2
Transmation 2800-NI Universal Temperature Transmitter Reseller Lot of 3 Used 2
TEL Tokyo Electron P-8 Camera Assembly Sony XC-75 Kowa GFZ-2160 Used Working 1
TEL Tokyo Electron P-8 Camera Assembly Sony XC-75 Kowa GFZ-2160 Used Working 1
Matsushita NAiS Programmable PLC System FP0-C14 FP0-E16 FP0-A21 Used Working 2
Teknic M-3450-111 Servo Motor SST 8000 Quad Delta 2100867 Summit ATC Used 2
Teknic M-3450-111 Servo Motor SST 8000 Quad Delta 2100867 Summit ATC Used 2
Mitsubishi Electric HC-MF2G1-UE AC Servo Motor Gear Head K9020 B Used Working 1
Oriental Motor 5RK60GU-CWT Reversible Motor Gear Head 5GU18KA Used Working 2
Oriental Motor SGMAH-01A1A-AD11 AC Servo Motor Used Working 6
VEM Motors Thurm KU1R 132 SX 2T DSD NSD TPM145 AC Drive Motor Used Working 1
Nemic-Lambda HR-12F-36V DC Power Supply Used Working Surplus 2
Nemic-Lambda HR-12F-36V DC Power Supply Used Working Surplus 5
SVG Silicon Valley Group 99-38154-01 Z Motion Transfer Robot 9002 90S DUV Used 5
SVG Silicon Valley Group 40615-01-0 Chill Plate End Station 150mm 90S DUV Used 1
SVG Silicon Valley Group 99-43012-02 Wafer Shuttle Arm Robot 9003S 90S DUV Used 1
TEL Tokyo Electron P-8 Wafer Prober Loader Rack 3281-000086-13 working 4
SVG Silicon Valley Group 99-43012-01 Wafer Shuttle Arm Robot 9003S 90S DUV Used 1
Maxon Motor 44.060.000-00.09-071 Shuttle Motor SVG 90S DUV 112-087 Used Working 2
SVG Silicon Valley Group Wafer Gripper Station 150mm 90S DUV Used Working 1
Millipore ENC0MPH01 Encompass HV Fluid Dispense Pump Photoresist SVG 90S Used 1
Millipore ENC0MPH01 Encompass HV Fluid Dispense Pump Photoresist SVG 90S Used 2
Yaskawa XU-DL2100 Servo Drive Nikon 4S064-521-2 Used Working 1
Nikon 4S008-180 Audio Video Processor Board PCB AV-I/FX4B Used Working 3
Nikon 4S005-387 Optical Sensor Board AF-SENSORX4-SUB-A 4S083-858 Used Working 1
Nikon PCB Assembly 4S008-110 ALGAF-T/A-X4+ 4S008-113 4S008-112 Used Working 1
Omron 3G8F7-DRM21-1Ro PCI Bus DeviceNet Board PCB 3G8F7-DRM21 Used Working 1
Omron 3G8F7-DRM21-1Ro PCI Bus DeviceNet Board PCB 3G8F7-DRM21 Used Working 1
Sanyo Denki PMM-BD-57035-7 Servo Card TEL Tokyo Electron 3286-000880-1 P-8 Used 2
Sanyo Denki PMM-BD-57035-7 Servo Card TEL Tokyo Electron 3286-000880-1 P-8 Used 2
Mitsubishi Q80BD-J71LP21-25 PLC MELSECNET/H Interface PCB BD808C121G51 Used 5
Meiden ZN77Z Backplane Board PCB MU24A31291 SU22A32117 A SU18A30221 Used 4
Meiden UP006/001A Battery Unit Card MDTE-PU4057-3964(3) Used Working 4
Lightwave Electronics M131S-AA01 Laser Diode Driver 131A Used Working 1
Coherent DPY301II Laser Power Supply Used Untested As-Is 1
NTRON C7-01-1110-02-0 Model 1100 O2 Analyzer 1110BE-N1 Used Working 1
Dalsa TA-L2-04K30-50E Inspection Lens Module Hitachi I-900SRT Used Working 1
AMAT Applied Materials 0010-08323 Local RF Match Rev. 007 Used Working 1
AMAT Applied Materials 0010-08322 Local RF Match Used Untested As-Is 1
Tokyo Electronic Industry CS8340B Compact CCD Camera TV4602A1 Used Working 1
Hitachi CCWLMT Linear Optics Stage Assembly I-900SRT ORG Used Working 1
Asyst Technologies 0FH3000-001 Prealigner Hitachi I-900SRT Used Working 1
Hitachi Wafer Stage Assembly I-900SRT Defect Inspection Tool Used Working 1
Nabtesco 3D80-000005-V3 Wafer Transfer Robot Motor Base Used Working 1
Nabtesco 3D80-000005-V3 Wafer Transfer Robot Motor Base Used Working 1
Berkeley Process Control USA2-11-28-UR Multi-Axis Universal Servo Amplifier Used Working 1
Berkeley Process Control USA2-11-28-UR Multi-Axis Universal Servo Amplifier Used Working 1
Sanyo Denki PMM-BD-57035-8 Servo Card TEL Tokyo Electron 3286-001590-1 P-8 Used 2
Sanyo Denki PMM-BD-57035-8 Servo Card TEL Tokyo Electron 3286-001590-1 P-8 Used 2
Power-One HN15-4.5-A Power Supply VAD610708 KLA-Tencor AIT 2 Used Working 1
Power-One HN5-9/OVP-A Power Supply VAD610707 KLA-Tencor AIT II Used Working 1
Power-One HN28-3-A Power Supply VAD610239195 KLA-Tencor AIT 2 Used Working 1
Power-One HC5-6/OVP-A Power Supply VAD610709 KLA-Tencor AIT 2 Used Working 1
KLA-Tencor Dual SMIF Interconnect I/O PCB 0037918-00 Rev. AD Used Working 2
KLA-Tencor 552364 Light Tower Driver Board AIT 2 Used Working 2
KLA-Tencor 509868 Rev. AB Remote LED Board AIT 2 Used Working 1
Tencor Instruments 363251 4 Channel Motor Control PCB Card Rev. AC AIT 2 Used 1
Condor HB5-3/OVP-A+ Power Supply HC12-3.4-A+ HN24-3.6A+ Reseller Lot of 5 Used 1
TEL Tokyo Electron 3281-000019-15 PCB Card TVB3401-1/GPIB P-8 Used Working 4
TEL Tokyo Electron 3281-000019-15 PCB Card TVB3401-1/GPIB P-8 Used Working 8
Condor HE2-18-A+ Power Supply HE5-18/OVP-A+ HCBB105W-A+ HCC15-3-A+ Lot of 5 Used 1
Conoptics M25DS Laser Modulator Power Amplifier Model 25 DS Used Working 1
Keyence SJ-R036C Static Elimination System Bar Type 360mm Hitachi I-900SRT Used 1
Allen Integrated Assemblies AP15211 Digital Analog Input Board Used Working 10
Allen Integrated Assemblies AP15222 Digital Output PCB Card Used Working 4
National Instruments AT-MIO-16D Multifunction DAQ PCB Card 181965-01 Used 1
MuTech M-Vision 1000 Frame Grabber PCB Card MV-1000 Used Working 1
Loughborough Sound Images FRU 600-02095 Processor Card PCB 260-00200 R12 Used 1
National Instruments AT-GPIB/TNT Plug and Play PCB Card 183663C-01 Used Working 1
SVG Silicon Valley Group 99-80268-01 System Power Supply Board PCB 90S DUV Used 1
Sony XC-7500 CCD Camera VGA Hitachi I-900SRT Used Working 1
Hitachi I-900SRT Lens Wheel Filter Assembly Oriental Motor PK544-NAC Used Working 1
Hamamatsu C7883E Optical Sensor Board PCB Assembly Hitachi I-900SRT Used Working 2
SSM Solid State Measurements SX-UID500H CM H2 Lamp Assembly Hitachi I900SRT Used 1
Nikon 4S008-116 ALGAF-S/D-X4+ Optical Sensor Assembly OPTISTATION 7 Used 1
Hamamatsu H8008-01 Photomultiplier Tube H8008 Used Working 2
TEL P-8 Wafer Prober Axis Drive Assembly with PQL-INT board used working 1
Toflow Helical Flow Inline Flow Meter 0.5~5L/min Used Working 1
Tokyo Flow Meter HF-M30 Helical Inline Flow Meter 2~20L/min Used Working 6
Nikon Prism and Mirror Block Optics Assembly OPTISTATION 7 Used Working 1
Nikon Laser Alignment Block Optics Assembly OPTISTATION 7 Used Working 1
Nikon Pneumatic Lens Alignment Block Optics Assembly OPTISTATION 7 Used Working 1
Ashcroft XLDP Differential Pressure Transducer Assembly SVG SV99-45892-01 Used 2
Cutler-Hammer JD3250F Industrial Circuit Breaker 3A83976G18 Series C Used 1
Hitachi UH35N AC Magnetic Contactor Reseller Lot of 3 Used Working 1
Nikon 2S700-580-1 Processor Board SCPU PCB Card 2S014-033-4 OPTISTATION 3 Used 2
Nikon 2S700-580-1 Processor Board SCPU PCB Card 2S014-033-4 OPTISTATION 3 Used 1
TEL P-8 Wafer Prober Tray Loader Assembly working 2
TEL P-8 Wafer Prober Tray Loader Assembly working 3
TEL P-8 Wafer Prober Tray Loader Assembly working 1
Asyst Technologies 9700-6224-01 Sensor for ADVANTAG 9100 Lot of 2 Used Working 5
Omron S82J-10024D Compact Power Supply Reseller Lot of 10 Used Working 1
Omron V640-HAM11-V2 RFID Amplifier Unit with CIDRW Head V640-HS61 Used Working 1
Omron V640-HAM11-V2 RFID Amplifier Unit with CIDRW Head V640-HS61 Used Working 25
Shinko SCE93-100009-C1 Interface Board PCB SLPCN3A-1 SBX08-000032-11 Used 20
Shinko M223D Compact Servo Drive PDS-D (PbF) Used Working 3
Shinko M223D Compact Servo Drive PDS-D (PbF) Used Working 1
Dynax F104-IO/1 In Out I/O Interface Board PCB DNX5191 Used Working 16
Dynax F104-CPU Processor CPU Board PCB DNX5171 Used Working 9
TEL PCB Display Driver Board 3281-000043-19 working 1
Mitsubishi FR-A024-0.4K Inverter FREQROL-A024 Parameter Unit FR-PU03E Used 9
Axcelis Technologies 624811 Power Supply Gemini Used Working 1
Delta Design 1941692-503 Pick and Place Interface Board PCB TLC-503 Used 4
ASML 4022.471.7488 Capacitor Interconnect PCB Card Used Working 4
Delta Design 1669755-501 Push Bar/LCD Control PCB Used Working 1
Therma-Wave 24258 PCA Backplane ISA PCI Rev. B Opti-Probe 2600B Used Working 1
AMAT Applied Materials 0100-00003 Stepper Drive PCB VME Card Used Working 1
Pureron DFM2-1000 Flow Meter Reseller Lot of 5 Used Working 1
TEL P-8 Wafer Prober Axis Drive Assembly with SMC Cylinder CY1R6H-R5495-125 4
Nikon 4B043-726 Magnetic Linear Rail Assembly OPTISTATION 7 Used Working 1
Shimadzu 262-78187-20V1 TMP Turbomolecular Pump Control Cable Set 20M Used 1
TEL Tokyo Electron 3281-000147-12 LST-1 PCB Card 3208-000147-11 P-8 Used Working 2
TEL Tokyo Electron 3281-000147-12 LST-1 PCB Card 3208-000147-11 P-8 Used Working 3
KLA-Tencor 0052196-007 MMD Analog AIT UV Board 0021488-001 AIT Fusion UV Used 2
KLA-Tencor 0052412-001 GPIO In/Out PCB Card 0052413-001 Used Working 1
Dolan-Jenner A241PB6TC42 Fiber-Lite Regulated Power Supply A-241P-RS-232 Used 1
Dolan-Jenner A241PB6TC42 Fiber-Lite Regulated Power Supply A-241P-RS-232 Used 1
TEL Tokyo Electron OYDK-065 CONN EXT CHEM #02 PCB Board Lithius Used Working 2
TEL Tokyo Electron OYDK-020 CNTR EXT CHEM #01 PCB Board Lithius Used Working 1
TEL Tokyo Electron OYDK-064 RY EXT CHEM #02 PCB Board Lithius Used Working 2
TEL Tokyo Electron OYDK-060 I/O EXT CHEM #02 PCB Board Lithius Used Working 2
Tokyo Keiso UCUF-04B/ZT Photoresist Pump Assembly TEL Lithius Used Working 11
TEL Tokyo Electron Chemical Vessel Drawer Assembly Clean Track Lithius Used 1
TEL Tokyo Electron HTE-TC3-A-AA IF TC CTRL #03 Board PCB TMB2200 Lithius Used 1
Iwaki HPT-106-2 Photoresist Tubephragm Pump HPT-106 TEL Lithius Untested As-Is 1
Omron 3G8F7-DRM21-1(1) PCI Bus DeviceNet Board PCB 3G8F7-DRM21 Used Working 3
Omron 3G8F7-DRM21-1PbF PCI Bus DeviceNet Board PCB 3G8F7-DRM21 Used Working 1
Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V5.16A Used Working 2
Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V5.16A Used Working 4
Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V5.16A Used Working 4
Meiden YZ50A Backplane Board PCB SU18A30141 MU24A31161 SU22A31969 Used Working 4
ADTX A202588 Hard Drive Interconnect Processor Board PCB AXRB-NCA-MD Used 2
Meiden YZ84Z LED Indicator Board PCB SU22A31963 MU24A31158 Lot of 3 Used Working 1
Setra 204100-50-NK Pressure Transducer 204 0-700kPa Used Working 1
ASML 4022.471.6240 Fiber Optic Tranceiver PCB Card 4022 471 4187.1 Used Working 4
ASML 4022.471.5535 Dummy VME Card PCB VME64E 4022 471 55361 Used Working 1
ASML 4022.471.5533 Dummy VME Card PCB VME64E 4022 471 5533.1 Used Working 3
ASML 4022.436.7714 Embedded Programmable Logic VME Card PCB Used Working 1
ASML 4022.471.6464 I/O In Out Interface VME Card PCB 4022 471 64651 Used Working 1
ASML 4022.471.7041 S04 Serial Interface VME Card PCB 4022 471 70421 Used Working 1
ASML 4022.471.6947 S08 Serial Interface VME Card PCB 4022.470.88821 Used Working 1
ASML 4022.471.6949 S15 Serial Interface VME Card PCB 4022 471 69501 Used Working 1
ASML 4022.471.7510 S31 Serial Interface VME Card PCB 4022 471 74971 Used Working 1
ASML 4022.471.7491 S31 Serial Interface VME Card PCB 4022 471 74971 Used Working 1
ASML 4022.437.3013 Shutter Control Interface Card PCB Used Working 2
Anorad B801857A Dual PI Interface Board PCB Used Working 1
DIP Incorporated EH0111(B)-8 Power Supply PCB Assembly EH0111 DB-D56-101E Used 2
Daifuku P0D-3716A Backplane Interconnect Board PCB Used Working 1
Nikon 4S013-474 Power Distribution Board PCB RLIOP-I/F3 Used Working 1
Air Products 287-423121 LED Indicator Supervisior Board PCB Used Working 2
Air Products DD 1151 Processor Board PCB Card DD1001 Used Working 2
Air Products CRSD1538 Display Processor Board PCB Card Used Working 2
Air Products CRSD 1036 CPU Processor Board PCB Card CRSD1036 Used Working 2
Air Products CRSD 1537 Digital Processor Board PCB Card CRSD1537 Used Working 2
Air Products CRSD1244 Backplane Board PCB Card CRSD 1244 Used Working 2
Asyst 810-2850-001 PWM Motor Driver Board PCB Hine Design Used Working 8
Asyst 810-2850-001 PWM Motor Driver Board PCB Hine Design Used Working 1
Asyst 810-2850-001 PWM Motor Driver Board PCB Hine Design Used Working 3
Asyst 810-2850-001 PWM Motor Driver Board PCB Hine Design Used Working 4
Asyst 810-2850-001 PWM Motor Driver Board PCB Hine Design Used Working 1
Ametek 5-7006 AMETEK-RTP Fan Control PCB Assembly 5-7004 Used Working 1
ASML 4022.634.27501 WH Robot Interface PCB Card 4022 634 27511 Used Working 1
Air Products 1-809-602562 Gasguard Operator Interface Display Panel Used Working 2
Toshiba 8VD00236000 SALG Power Source PCB Card PSU-KN3-PWB Nikon 4S001-112 Used 1
Hirata HPC-778B LED Indicator Processor Board PCB HPC-778 Used Working 8
Hirata HPC-784C AP21 N6 Processor Board PCB 5303940-0C-D Used Working 8
Brooks Automation 002-6878-02 Connection Board PCB 002-8276-02 Used Working 1
Nikon LANRCSLIFX4 BNC Interface Processor Board PCB Used Working 1
Yamatake SAB10-C4V12 YVME-IF Interface SDS VME Card PCB 81526535-001-03 Used 1
Shinko SCE93-100036-C1 LPCN-2A-1 Connection Board PCB SBX08-000040-11 Used 20
Nikon 4S005-362-2 Optical Sensor Board PCB AF-SENSORX4 P19055AAB-A Used Working 1
Nikon 4S005-387 Optical Sensor Daughterboard PCB AF-SENSORX4-SUB-A Used Working 1
IP-Square IP57709A-NWC Video Processor Board IPS7709A-NWE Used Working 1
Nitto CPU-I/F CPU Interface Logic Board PCB Used Working 1
Tiris RI-CTL RFID Reader Control Module PCB RI-CPU-0001-02 Used Working 1
Sysmex TA-100KR-P LAN to RS-232C Conversion Adapter IF Board PCB DP0352B Used 1
Delta Design 1906872-501 Input Sensor Board PCB Used Working 13
Humo Laboratory HC-6002-2 Two Channel GEM Controller Card PCB HC6002 Used 1
Humo Laboratory HC-6202-2 4 Line ACI PCB Card HC6002 Used Working 1
Humo Laboratory HC-6130-1 PC Card I/F Board PCB Card HC6130 Used Working 1
MKS Instruments 622A12TBE Baratron Transducer 100 Torr Used Tested Working 6
MKS CV7627A-05 Vacuum Isolation System 627A.1TAD-----S 750B Tested Used Working 1
MKS Instruments 51A11TCA2BA002 Baratron Pressure Switch Used Tested Working 1
MKS Instruments 622A12TBE Baratron Capacitance Manometer Not Working As-Is 1
MKS Instruments 629A13TBC Baratron Pressure Transducer Tested Not Working As-Is 1
MKS Instruments 627A.1TAD-----S Baratron Transducer Vacuum Leak Tested As-Is 2
Air Products DD 1552 Non-Incendive Interface Board PCB Used Working 2
Air Products CRSD 1319 Power Supply Assembly PCB CRSD1319 SRW-65-2201 Used 2
Hirata HPC-784A Omron Relay Interface Board PCB Used Working 1
Nikon 4S013-354 Cassette Elevator Interconnect Board CSTLNK [A] PCB Used Working 1
Air Products CRSD 1243 Pneumatic Distribution Board PCB CRSD1243 Used Working 2
Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191 Used 18
Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. G Used Working 2
Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. H Used Working 2
Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. D Used Working 1
Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. B Used Working 2
Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. C Used Working 5
Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. F Used Working 7
Delta Design 1906880-501 MBX RS232/RS485 Expansion Board PCB Rev. E Used Working 2
Delta Design 1906880-501 MBX RS232/RS485 Expansion Board PCB Rev. F Used Working 2
Delta Design 1941692502 Pick and Place Interface Board PCB OLB V1.47 Used 1
Delta Design 1906876-501 Output Driver PCA Board PCB Used Working 17
Delta Design 1906876-501 Output Driver PCA Board PCB Rev. C Used Working 1
Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. E Used Working 3
Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. B Used Working 1
Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. G Used Working 1
Delta Design 1667195-501 Quad Pressure Sensor Board PCB 1667195-501 Used Working 1
Delta Design 1663396-501 68K Parallel Interface Buffer Board PCB Used Working 1
TDK TAS-RIN8 Backplane Interface Board TAS300 300mm Loadport Used Working 4
TDK TAS-RIN8 Backplane Interface Board TAS300 300mm Loadport Used Working 1
RiboTech RT-10034 Summit ULT Board PCB RT-10031 Delta Design Used Working 1
Delta Design 1937031-501 DAC P1 Interface Board PCB Reseller Lot of 2 Used 1
Delta Design 1937028-501 ADC Interface Board PCB Reseller Lot of 2 Used Working 1
Mean Well PD-2512 Power Supply PCB 1923755-001 Reseller Lot of 2 Used Working 1
Delta Design 1935860-501 PXI-TC Interface Board PCB 1935859-401 Used Working 1
Delta Design 1661333-502 68000 Master Board PCB 1661332-401 Used Working 1
Delta Design 1686300-502 Power Distribution Board PCB 1686299 Used Working 1
Delta Design 1670345-503 256K/512K/1 MEG SRAM Memory Board PCB 1670344 Used 1
Delta Design 2001-586-000 Interface Backplane Board PCB 2001-586-002 Used 2
Delta Design 1658643-501 2 Channel Temperature Controller Board PCB Used Working 1
Delta Design 1684444-501 Dual Channel Temperature Controller Board PCB Used 1
Delta Design 1657925-501 68008 Processor Board PCB 1664814-001 Used Working 1
Motorola 0708601 SBC Single Board Computer PCB 01-W3527F Used Working 2
Motorola 01-W3269F SBC Single Board Computer PCB 84-W8269F01E Used Working 1
Motorola 01-W3269F SBC Single Board Computer PCB Rev. 01F 84-W8269F01C Used 1
Delta Design 1937019-503 2400W PFC Boost Supply Board PCB 2001-587-000 Used 8
SMC INR-244-216U Thermo-Con Power Supply RCP INR-244-244T-670 Used Working 1
SMC INR-244-217B Thermo-Con Power Supply RCP INR-244-244T-670 Used Working 2
Delta Design 1666339 Dual DC Motor Control Board PCB 1669550-001 Used Working 8
Delta Design 1662669-501 DC Dual DC Motor Control Board PCB Used Working 2
Delta Design 1684833-501 Dual DC Motor Control-Enhanced Board PCB Used Working 1
Delta Design 1662040-501 AC Power Control 1308 Transformer Board PCB Used 3
Delta Design 1977192-601 Power Supply Board PCB 2001-585-000 Used Working 32
SMC 2TP-2B575 Thermo-Con Operator Panel PCB INR-244-244T-670 Used Working 1
ABB ACH550-UH-03A3-4 Adjustable Frequency AC Drive HVAC ACH-CP-B New Surplus 1
Nikon KSN CS013-025-CHBR-IF Chamber Interface Board PCB PRT M-050 NSR-S307E Used 1
Nikon 4S019-073-1 Chamber CPU Board PCB CHBCPU-1 PC01023B NSR-S307E Used Working 2
Nikon 4S018-982 Interface Processor Board PCB RA-TYUKEI-ETTR-1+ NSR-S307E Used 1
Nikon 4S018-983 Interface Processor Board PCB RA-TYUKEI-ETTR-2+ NSR-S307E Used 1
Hirata HPC-784A Relay Processor Board PCB AI AM-1 Used Working 3
Hirata HPC-778 Relay Processor Board PCB AI AM-1 Used Working 4
ASML Nikon Reticle CCM EL. Connection Box 4022.470.0891 1
Nikon 4S019-137 Driver Board PCB REX-DRV3 NSR-S307E Used Working 1
Nikon 4S019-147 Motor Control Board PCB REX-MTR NSR-S307E Used Working 1
Nikon 4S019-192 Pneumatic Control Board PCB REX-AIR3 NSR-S307E Used Working 1
Nikon 4S013-488 Interface Board PCB RTX4B1 NSR-S307E Used Working 4
Nikon 4S008-207 Processor Board PCB EP-EES NSR-S307E 200mm DUV Used Working 2
Nikon 4S008-057 Processor Board PCB AISref-X4 NSR-S307E 200mm DUV Used Working 8
Mykrolis 50-04424 Interface Board PCB Sub-Assembly 40-04425 Used Working 1
AMAT Applied Materials 0100-01492 Source Conditioning Board PCB Used Working 1
Yamatake 408371-001 CPS Interconnect Board PCB Assembly 81408372-011-02 Used 2
DIP 15039603 Digital I/O CDN396 PCB Card AMAT 0190-14148 DIP-065-026 Used 1
AMAT Applied Materials 0090-02233 HDPCVD Interlock PCB Card 0190-00285 Used 1
AMAT Applied Materials 0100-20454 Controller Backplane Board PCB Rev. 005 Used 2
Delta Design 1666339 Dual DC Motor Control Board PCB Rev. AJ 1698422-501 Used 1
Zendex ZX 564 ZBX Mother Board PCB PCZX-564-A ASML 859-8147-001 Used Working 1
ASML 859-0529-001 ISBX Interface Board PCB 859-5036-005 Used Working 1
ASM Advanced Semiconductor Materials 03-320460D01 MFC I/F Board PCB Used Working 1
Nikon 4S017-054 AFMTH Interface Board PCB Used Working 2
Opal 13811 Power Relay Board PCB Card 12488 12489 AMAT SEMVision Used Working 1
Therma-Wave 14-020990 Shutter Power Driver Board PCB 40-015811 Used Working 1
Sealevel Systems 3420 ISA 8-Port RS-232 Serial Interface PCB Card Used Working 1
TDK TAS-LED Load Port Indicator Light Board PCB TAS300 Used Working 18
TDK TAS-CNEXT Load Port Interface Board PCB Reseller Lot of 2 TAS300 Used 12
TDK TAS-RIN8 Backplane Interface Board Reseller Lot of 4 TAS300 Loadport Used 6
TDK TAS-RIN16 Backplane Interface Board Reseller Lot of 4 TAS300 Loadport Used 5
TDK TAS-RIN16 Backplane Interface Board PCB TAS300 Loadport Used Working 5
TDK TAS-IN8 Backplane Interface Board PCB Reseller Lot of 4 TAS300 Used Working 7
TDK TAS-IN12 Backplane Interface Board PCB Reseller Lot of 4 TAS300 Used Working 8
KLA-Tencor HRP-340 Motor Interface Board 303737 working 1
Schumacher 1730-3002 Reservoir Controller PCB Card S0000164-3 Used Working 2
Schumacher 1730-3002 Reservoir Controller PCB Card J0403066-1 Used Working 2
Schumacher 1730-3003 Cabinet Controller PCB Card 1731-3003 Used Working 2
Schumacher 1730-3009 I/O Input Output Controller PCB Card J0309064-3 Used 4
Schumacher 1730-3009 I/O Input Output Controller PCB Card S0000164-1 Used 4
Schumacher 1730-3005 Backplane Board PCB 1731-3005 S09066-55 Used Working 1
Schumacher 1730-3005 Backplane Board PCB 1731-3005 S0000163-2A Used Working 1
ASM Advanced Semiconductor Materials 2421534-21 Distribution PCB Used Working 1
ASM Advanced Semiconductor Materials 2550210-21 Distribution PCB Used Working 1
Hitachi 549-5525 RPSCONT2 Interface Board PCB 25496725 Used Working 6
Hitachi 564-5515 EMO CNT Interface Board PCB 25646715 Used Working 6
Hitachi E-1391-1 Relay Board PCB D-1830 Rev. F Used Working 6
Shinko SBX93-100003-C1 LPCN-1A-1 Connection Board PCB SBX08-000008-11 Used 20
Schumacher 1730-3006 Pneumatic EMO Control PCB Card J0404125-1 Used Working 1
Mydax M1002C RTD Interface Input Board PCB Chiller 1M9W-T Used Working 2
Mydax M1004D Power Interface Board PCB Chiller 1M9W-T Used Working 2
Mydax M1003D I/O Interface Board PCB Chiller 1M9W-T Used Working 1
Mydax M1010D Flowmeter Interface Board PCB Chiller 1M9W-T Used Working 1
Fuji Electric VFC084A-2T Ring Compressor Blower Used Working 1
Hitachi 560-5521 NIP Control Board PCB S-9300 Ion Pump Used Working 3
Hitachi 560-5521 NIP Control Board PCB S-9300 Ion Pump Used Working 1
PCI Industrial Computers PCI-12S Backplan Board PCB Used Working 1
Alphasem AG AS420-1-01 Relay Board PCB AS420-1 Used Working 1
Alphasem AG AS264-2-01 Relay Board PCB AS264-2 Used Working 1
Alphasem AG AS370-0-01 Communication Board PCB AS370-0 Used Working 2
Alphasem AG AS267-1-01 Communication Board PCB AS267-1 Used Working 1
Alphasem AG AS485-0-02 Interface Board PCB AS485-0 Used Working 1
Comtrol Europe 59750-1 Hostess 2000 BD-SMART8 Smart 8-100 PCB Card Used Working 1
Alphasem AG AS257-0-02 PC/AT Interface PCB Card AS257-0 Used Working 1
Dynax MSS5A3A1XDD AC Servo Driver Amplifier Used Working 2
Shinko SBX93-1000053-C1 CBCN-2-1 Connection Board PCB SBX08-000011-11 Used 1
Dynax DNX2080 Terminal Relay Board PCB Fics-IOM/16RH Used Working 3
Shinko 011BP4S-00-0B0 BP-4S PCB Card Backplane Board BP4SB Used Working 1
Dynax DNX2090 DC-DC Power Interface Board PCB Fics-IOM/16HI Used Working 1
Shinko ATA-IDE/R-LF(V2.0) Compact Flash PCB Card MASTER-ATA IDE Used Working 1
Shinko SCE93-100011-C1 SBC Processor LVDT-3A-1 PCB Card SBX08-000027-12 Used 1
TEL Tokyo Electron E244-000058-11 HDD I/F PCB Card E2B022-11/FRIF A203478 Used 1
TEL Tokyo Electron E244-000053-11 CPU Processor VME PCB Card E2B023/ECU Used 1
OKI Techno E2U008/PWR Power Supply PCB TEL Tokyo Electron E239-000069-11 Used 1
TEL Tokyo Electron E240-000057-11 ECC2 Controller Cooling Fan Card Used Working 1
TEL Tokyo Electron E281-000029-12 Backplane PCB E2B020-12/BP VPD0PC036F Used 1
TEL Tokyo Electron BX80-000063-11 ECC2 Controller MC Rack Card Used Working 1
TEL Tokyo Electron E280-000004-14 CCI Master DI/DO PCB Card E2B004-14/CCIM Used 1
TEL Tokyo Electron TEB108-12/SIO PCB Card EC80-000117-32 Used Working 1
TEL Tokyo Electron EC80-000163-21 Network Interface PCB Card TEB110-11/PCL Used 1
TEL Tokyo Electron E280-000011-13 DC-DC Converter PCB Board E2B003-11/PSD Used 1
TEL Tokyo Electron E280-000016-41 Video and Comm PCB Card E2B013-22/FPD3 Used 1
ADTX A202989 IDE Interface Board Assembly TEL E280-000032-31 ZXRB-NAT-31 Used 1
TEL Tokyo Electron E280-000015-13 Interface Board PCB Card E2B012-11/FDEX Used 1
NEC 220-500091-001 Risercard01 A2 PCB TEL Tokyo Electron 220-50009D-001-A Used 1
TEL Tokyo Electron E280-000014-12 Interface Board PCB Card E2B011-11/BP Used 1
TEL Tokyo Electron 3D80-000766-V1 ECC2 Controller MC Rack Card T-3044SS Used 1
TEL Tokyo Electron 3D80-000766-V2 ECC2 Controller MC Rack Card T-3044SS Used 1
TEL Tokyo Electron 3D80-000766-V3 ECC2 Controller MC Rack Card T-3044SS Used 1
TEL Tokyo Electron 3D81-000041-V2 TPB-S.VO PCB TYB62G-1/SYS2 T-3044SS Used 6
TEL Tokyo Electron 3D81-000040-V2 Processor PCB TYB61F-1/SYS1 T-3044SS Used 6
TEL Tokyo Electron 3D81-000045-V2 Processor PCB TYB62H-1/OPF2 T-3044SS Used 6
TEL Tokyo Electron 3D81-000044-V3 Processor PCB TYB61K-1/OPF1 T-3044SS Used 6
Shinko Electric 3D80-050107-V1 Wafer Prealigner SCE92100050 TEL T-3044SS Used 3
Meiden SW100 8-Port Switching Hub UT203/001A TEL Tokyo Electron T-3044SS Used 2
TEL Tokyo Electron 2L81-050152-V1 Analog I/F PCB YWP-C Assembly T-3044SS Used 1
TEL Tokyo Electron 3D86-000513-V2 Drive Assembly DBDP74AB363BEBA30 T-3044SS Used 2
Shinko BX80-0001-40-V1 LM-ARM-CONT(CE) Controller SCE92300107 TEL T-3044SS Used 1
CTI-Cryogenics 8107814G003 Cryopump CRYO-TORR 8R MRC Eclipse Used Working 1
TDK TAS300 300mm Wafer Load Port Type F1 AMAT 0190-11409 Used Working 1
TEL Tokyo Electron E280-000065-12 8-Port Switching Hub E2U205-12 T-3044SS Used 1
TEL Tokyo Electron 3D81-000046-V2 Interface PCB TYB61L-1/ELIF T-3044SS Used 6
TEL Tokyo Electron PS1 Power Supply Assembly Tray PAA300F-24 T-3044SS Used 4
TEL Tokyo Electron PS2 Assembly Power Supply Tray PAA600F-24 T-3044SS Used 6
TEL Tokyo Electron PS1 Assembly Power Supply Tray PBA600F-24 T-3044SS Used 2
AE Advanced Energy 27-368450-00 B RF Navigator 10013 3155162-037 Novellus Used 1
Yaskawa Electric XU-RCM5010 Wafer Transfer Robot Nikon NSR-S307E Used Working 3
TEL Tokyo Electron PR300Z Robot Assembly with 300mm Wafer Handler Copper Used 2
3com 3C16470B Baseline Switch 2016 HP 10016356 Reseller Lot of 3 Used Working 1
HP Hewlett-Packard 98624-66501 HP-IB 98624A PCB Card Reseller Lot of 2 Used 1
HP Hewlett-Packard A1473-66530 Connector PCB Card B-0100-RT Used Working 2
TEL Tokyo Electron 3281-000014-16 Board PCB Card TVB3102-1/SIO P-8 Used Working 3
TEL Tokyo Electron 3281-000014-16 Board PCB Card TVB3102-1/SIO P-8 Used Working 1
TEL Tokyo Electron 3281-000014-16 Board PCB Card TVB3102-1/SIO P-8 Used Working 8
AMAT Applied Materials 0100-90851 H.V/A.MAG Motherboard PCB 0100-90015 Used 1
AMAT Applied Materials 0100-90941 H.V/A.MAG Motherboard PCB 0100-90015 Used 1
PTI Progressive Technologies 12612G01 Automated Exhaust System Sentry 1000 Used 1
Granville-Phillips 274012 Ionization Pressure Gauge Tube Assembly Used Working 2
Granville-Phillips 275203 Convectron Pirani Vacuum Gauge 275 Used Working 2
Granville-Phillips 275203 Convectron Pirani Vacuum Gauge 275 Used Working 1
Granville-Phillips 275203 Convectron Pirani Vacuum Gauge 275 Used Working 2
TEL Tokyo Electron UI-120A Uniwire System I/O Channel Interface Card P-8 Used 4
Nikon 4S019-235 Interface PCB Card C/D_I/F NSR-307E Used Working 1
ASM Advanced Semiconductor Materials 03-20930 PCB Card 02-15839 Used Working 1
ASM Advanced Semiconductor Materials 03-21127 PCB Card 02-15467-01 Used Working 1
ASM Advanced Semiconductor Materials 03-21022 PCB Card 02-15912 Used Working 1
ASM Advanced Semiconductor Materials 01-18100 HiPEC Motion Solution Rack Used 1
Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. D.1 Used 2
Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. F Used 1
Schumacher 1730-3002 Reservoir Controller PCB Card 1731-3002 Rev. G Used 2
Schumacher 1000000-462-002 Cabinet Controller PCB Card 1731-3003 Used Working 1
Omron 3G8B2-NI001 Interface PCB Card TEL Tokyo Electron 3286-002066-11 P8 Used 4
Schumacher 1730-3009 I/O Input Output Controller RCI-M PCB Card J0309064-2 Used 3
DIC Corporation SD16L-24SHI E eFLOW Resistivity Meter Unit Used Working 1
AMAT Applied Materials 9090-00791 ITL 29Z Power Supply Assembly Used Working 1
GaSonics 90-2670 PCA LED and Interface Panel PCB A89-013-01 A-2000LL Used 1
Varian L6281-701 Pnumatic Angle Valve NW-16-A/O L6281701 Used Working 1
Varian 233355096 Pnumatic Vacuum Angle Valve NW-16-A/O Used Working 7
RECIF Technologies SPPF50A60000 Wafer Handler Assembly SPP300F05 SPP300 Used 1
Schlumberger 97914014 Relay Switch Board PCB 40914014 Used Working 1
ASM Advanced Semiconductor Materials 02-33082 HiPEC Power Supply Assembly Used 1
AMAT Applied Materials 0040-61814 200mm Chamber Centura RTP Used Working 1
AMAT Applied Materials 0040-61813 200mm Chamber Centura RTP Used Working 1
AMAT Applied Materials 9010-01382ITL SiF4 MFC Gas Panel Assembly Celerity Used 1
AMAT Applied Materials 9010-01382ITL SiF4 MFC Gas Panel Assembly Celerity Used 2
AMAT Applied Materials 9010-01382ITL SiF4 MFC Gas Panel Assembly Celerity Used 1
Mydax M1004D Power Interface Board PCB Chiller 1VL5WA1 Used Working 1
Asyst Technologies 9700-5158-01 300mm Loadport SIMF-300FL Used Working 2
Nikon 4S587-735 Motor Amplifier VCM AMP Used Working 3
TEL Tokyo Electron 3281-000146-12 PCB PST-STD Board Card 3208-000146-11 P-8 Used 4
TEL Tokyo Electron 3281-000146-12 PCB PST-STD Board Card 3208-000146-11 P-8 Used 7
KLA Instruments 6001755-03 TEL P-8 Video Board Working 1
KLA Instruments 6001755-03 TEL P-8 Video Board Working 7
Mydax M1003D I/O Interface Board PCB Chiller 1VL5WA1 Used Working 1
Mydax M1009A Single Relay Interface Board PCB Chiller 1VL5WA1 Used Working 1
Mydax M1009A Dual Relay Interface Board PCB Chiller 1VL5WA1 Used Working 1
Mydax M1007 LED Power Supply Board PCB Chiller 1VL5WA1 Used Working 1
Mydax M1001E Chiller Operator Interface Controller Panel 1VL5WA1 Used Working 1
AMAT Applied Materials 0010-13967 OFT Centerfinder Receiver 300mm Centura Used 5
AMAT Applied Materials 0010-76968 OFT Centerfinder Emitter 300mm Centura Used 4
Proteus Industries 9812SA19P3 Flow Meter AMAT 0190-09338 Used Working 1
Yaskawa 410000-8600 Robot Controller ERCJ-CRJ3-B00-CN TEL PR300Z Used Working 3
Yaskawa 410000-8600 Robot Controller ERCJ-CRJ3-B00-CN TEL PR300Z Used Working 1
Digital Electronics UF7811-2-DV2S-24V Operator Panel TEL PR300Z Used Working 4
Digital Electronics UF7811-2-DV2S-24V Touch Screen Display Panel Used Working 1
Digital Electronics UF7811-2-DV2S-24V Touch Screen Display Panel Used Working 1
SMC VNB301AS Pneumatic Process Valve Reseller Lot of 2 Used Working 1
AMAT Applied Materials Centura RF Cable Set of 2 23 Foot 7 Meters Used Working 1
KLA Instruments 6001756-05 TEL P-8 Video Board Working 1
KLA Instruments 6001756-05 TEL P-8 Video Board Working 7
Swagelok SS-BN8FR8-C High Purity Valve Stainless Reseller Lot of 2 Used Working 1
Swagelok SS-BNV51-DU-C Bellows Sealed Valve Reseller Lot of 2 Nupro Used Working 2
Nikon KAB11000/AL101-0 Standard Microscope OPTISTATION 7 KAB11005/302/38-0 As-Is 1
RECIF Technologies CPUCH0027 Fan Filter Unit Moterboard PCB MOBBH0191 Used 1
RECIF Technologies DISDH0132A Interface Board PCB PCB0132A Used Working 1
RECIF Technologies PWRAH0117A Power Interface Board PCB PCB0117A Used Working 1
TEL P-8 Prober PST-OPT Board 3281-000129-11 working 2
TEL P-8 Prober PST-OPT Board 3281-000129-11 working 7
RECIF Technologies INTAH0251 Lighting Interface Board PCB PCB0251 Used Working 2
Omron V400-F050 2D Code Reader Camera 25mm TV Lens with Cable Used Working 7
MORITEX Corporation MBRL-CW5015 White High Intensity LED Array Used Working 5
Komatsu 20000300 Temperature Controller AIH-64QS-T5 TEL PR300Z Used Working 8
RECIF Technologies DISAH0482 A Interface Board PCB PCB0482 D Used Working 1
Matrox 63039620227 Image Processing Module SBC F2M56C00000AS0R 4Sight II Used 1
TEL Tokyo Electron 3281-000080-11 I/O PCB Card TVB9003-1/316 P-8 Used Working 3
TEL Tokyo Electron 3281-000080-11 I/O PCB Card TVB9003-1/316 P-8 Used Working 5
RECIF Technologies PCOBH2500B STD Processor Board PCB STD\H2500A Used Working 1
Matrox 7121_00 4Sight II Acquisition Module Board PCB Used Working 1
RECIF Technologies PCOFH2500B STD Processor Board PCB STD\H2500A Used Working 1
Minicom 1DT12006 Duet PC Splitter Industrial KVM Reseller Lot of 2 Used Working 1
RECIF Technologies STDAH0543 A LED Interface Board PCB PCB0543 A Used Working 1
RECIF Technologies ZS0000705-8Q ChemGuard Tool Interface Board PCB AP1555 Used 1
TEL Tokyo Electron 3281-001184-17 PCB Card TVB6004-1/QMC3 P-8 Used Working 24
TEL Tokyo Electron 3281-001184-17 PCB Card TVB6004-1/QMC3 P-8 Used Working 6
Hugle Electronics 510TS Ionizing Bar 39.5" TEL Tokyo Electron PR300Z Used 2
Hugle Electronics 510TS Ionizing Bar 39.5" TEL Tokyo Electron PR300Z Used 4
TEL Tokyo Electron 847 ADH Adhesion Process Station ACT12-300 w/Encloser Used 4
TEL Tokyo Electron 847 ADH Adhesion Process Station ACT12-300 w/Encloser Used 2
TEL Tokyo Electron 847 ADH Adhesion Process Station ACT12-300 300mm Used Working 2
TEL Tokyo Electron 2981-600595-11 CONN CT SSR #01 PCB Board 2908-600595-11 Used 1
TEL Tokyo Electron 838 SHU Shuttle Slider Assembly ACT12-300mm Used 1
PTI Progressive Technologies 12042 Operator Interface Keypad TIM-100 Used 4
Cognex 800-5829-1R A Fixed-Mount ID Reader Set In-Sight 5410R InfiniStix Used 1
STEC PAC-D2 Special Purpose Power Source Controller Used Working 1
Cambridge Fluid Systems 0010-91663 Gas Panel Used Working 1
Mitsubishi MR-J2S-20B-S087 AC Servo MELSERVO TEL PR300Z Copper Exposed Used 2
Modus Instruments DWS01P0RFRR15080 Display Alarm TEL PR300Z Copper Exposed Used 1
Digital UF-EXX01-TEL1 Flat Panel Extender Unit TEL PR300Z Copper Used Working 1
TEL Tokyo Electron EC80-000184-12 5-Port Hub TEB004-12/GOHUB PR300Z Copper Used 2
Cosel AC3-OHRR-00 Power Supply ACE300F TEL PR300Z Copper Exposed Used Working 1
Yaskawa YR-CRJ3-A00 Robot MOTOMAN TEL Tokyo Electron PR300Z Copper Used Working 1
MKS Instruments AS01391-21 CDN391R Board PCB Card AMAT 0190-24115 Used Working 2
DIP Incorporated 15039603 CDN396 Board PCB Card AMAT 0190-01270 Used Working 1
Thermonics 1B-080-1A In Out Processor PCB Card T2400R I/O Used Working 1
Thermonics 1B-079-1D CPU Processor PCB Card 1B-079-XA Used Working 1
Thermonics 1B-086-1A Flow Control Board PCB 1B-086-1D Used Working 1
Thermonics 1B-100-XA 2420 Boom Head Control Board PCB 1B-100-1D Used Working 1
Thermonics 1B-087-1A Heater Control Board PCB 1B-087-1D Used Working 1
Thermonics 1B-084-1A T2420 Mother Board Backplane PCB 1B-084-1D Used Working 1
TEL Tokyo Electron 3D81-000102-V1 Interface Board PCB TYB61C-1/TOP1 Used Working 1
TEL Tokyo Electron 3D81-000035-V2 Interface Board PCB TYB62C-1/TOP2 Used Working 1
TEL Tokyo Electron 3D81-000063-V5 Processor PCB Card TYB517-1/IOAS Used Working 1
TEL Tokyo Electron 3D81-000063-V5 Processor PCB Card TYB517-1/IOAS Used Working 2
Nikon 4S018-675-D-IO-1(3) Processor Board PCB J1PC103B Used Working 1
Nikon 4S008-114 Processor Board PCB ALGAF-P/A-X4+ Used Working 3
Nikon 4S008-115- Processor Board PCB ALGAF-P/D-X4+ Used Working 3
Nikon 4S008-115- Processor Board PCB ALGAF-P/D-X4+ Used Working 1
Reid Ashman Manufacturing RA21688 Distribution Board PCB Used Working 1
Reid Ashman Manufacturing RA16220 Granite I/O Processor Board PCB Used Working 1
Poly-Flow Engineering EA-019 Auto Flow II Micro Controller Board PCB Used 1
Nikon 4S018-793-B Input Output Processor Board PCB PRE2 I/O-4 Used Working 2
Nikon 4S019-198 Input Output Processor Board PCB PRE2 I/O-3B Used Working 1
Hitachi MTIF01 #2201 Interface Connection Board PCB I-900SRT Used Working 1
Nikon 4S008-181 Audio Video Processor Board PCB AV-I/FX4B Used Working 1
Nikon 4S008-049-D Interface Board PCB alg-Z8277 Used Working 1
Nikon 4S008-052-C Processor PCB Board X4-AIS A-554 NSR Series Used Working 1
Nikon 4S013-482 Interface Board PCB STGX42B Used Working 4
Nikon 4S013-484 Interface Connector Board STGX44B PCB Used Working 1
Nikon 4S013-393 Interface Connector Board STGX42A PCB Used Working 1
TEL Tokyo Electron 3D81-000098-V1 Network Board PCB E67920 TYB515-1/I016 Used 3
TEL Tokyo Electron 3D81-000031-V3 Interface Board PCB E67920 TYB62A-1/VCONT Used 3
Kulicke and Soffa Industries 01471-4000-000-12 Processor Board PCB Card Used 1
Kulicke and Soffa Industries 01471-4000-000-13 Processor Board PCB Card Used 1
Kulicke and Soffa Industries 01471-4000-000-14 Processor Board PCB Card Used 1
Kulicke and Soffa Industries 01471-4000-000-15 Processor Board PCB Card Used 1
Kulicke and Soffa Industries 01482-4001-000-02 Processor Board PCB Card Used 1
Kulicke and Soffa Industries 06100-4006-000-03 Processor Board PCB Card Used 1
JAE KT000983 6 Axis Vibration Measurment Unit Nikon 4S587-588 NSR-S307E DUV Used 1
Queensgate NS2303/A Position Sensor Unit Nikon 4S288-271 NSR-S307E DUV Used 3
Queensgate NS2300/A Position Sensor Unit Nikon 4S587-005 NSR-S307E DUV Used 2
Queensgate NS2300/D Position Sensor Unit Nikon 4S288-213-1 NSR-S307E DUV Used 11
Setra 204 Pressure Transducer 0-700 KPA Nikon NSR-S307E DUV Lot of 8 Used 1
Edwards D37272800 Pump Display Module Terminal Controller Used Tested Working 1
Agilent Z4208-68001-23-0645-00010 Controller PCB Z4208B VME Card NSR-S307E Used 1
Nikon 4S019-288-1 Laser Interface VME Card IFSIGCOR NSR-S307E 300mm DUV Used 4
Nikon 4S013-487 Interface Board PCB IFIOPIF3 VME Card 4S015-259 NSR-S307E Used 1
Agilent Z4208C Controller VME Board E1845-60001 Nikon NSR-S205C Used Working 1
Nikon 4S019-288-1 Interface Board VME Card IFSIGCOR PCB NSR-S205C Used Working 5
Vaisala HMPNIK-S3-A1B0A1EE12A1A3A Humidity/Temperature Transmitter Used 1
Vaisala HMPNIK-S2-A1P0A2EE12C1N1A Humidity/Temperature Transmitter Used Working 1
Omron S82D-3024 Power Supply Used Working 1
SanRex HKD-1510BT Survey Mode Metal Surface Treament Power Supply Used Working 1
Nikon LS353-01-030 Interface Processor Board PCB LANRCSLIFX4 Used Working 1
Screen SL-2210-FC Network Control Module MMC057g Used Working 1
Screen SL-2210-FC Network Control Power Modules SL2220-C Lot of 2 Used Working 1
SynOptics 920-368-A DC/DC PCB 480-335-A 75W Used Working 1
AMAT Applied Materials 0190-76273 Power Supply P1183-208/208 Used Working 1
NSK ESA-J2006AF3-2 Theta Axis Servo Motor Driver TEL Clean Track ACT12-300 Used 2
Yaskawa SGDS-01A72A AC Servopack SGDS 4P2158-1-2 Used Working 1
ASML Prodrive 6001-0202-2801 Controlled Power Module CPM-P20 4022-470-8838 Used 6
Modus Instruments DA-1-09E-0-RFRR Display Alarm Lot of 2 Used Working 1
Nikon 4S018-716 Relay Control Board PCB OPDCTRL3 NSR-S307E DUV 300mm Used 3
Nikon 4S019-156 Processor Board PCB AVDRVX4B NSR-S307E DUV 300mm Used Working 3
Nikon 4S019-156 Processor Board PCB AVDRVX4B NSR-S307E DUV 300mm Used Working 6
Nikon 4S015-261 Processor Control Board PCB NK-C44-60R NSR-S307E DUV 300mm Used 18
Nikon 4K177-955-1 Robot Controller Tazmo RRW-07 V4.2 NSR-S204B Used Working 2
Kulicke and Soffa Industries 01471-4001-000-01 Processor Board PCB Card Used 1
Kulicke and Soffa Industries 01483-4027-000-02 Video I/F Board PCB Card Used 1
Kulicke and Soffa Industries 00835-4580-000-71 Interface Board PCB Card Used 1
Kulicke and Soffa Industries 1471-4013-0-01 Relay Board PCB Card Used Working 1
Kulicke and Soffa Industries 00835-4509-000 Wire List Board PCB Card Used 1
Omron S82J-05024D Open Frame Power Supply 29431600102-A3 Reseller Lot of 6 Used 1
Oriental Motor K0366-D Brushless DC Motor Driver Used Working 3
TEL Tokyo Electron HA-009 Digital In Out Board EXT DIO #02 PCB Used Workin 1
Kokusai D2E01080A Power Supply Board PCB PFS/A1 Used Working 2
Jenoptik Infab 013501-083-17B Interface Board PCB Brooks 013501-090-17 Used 2
National Instruments 180925C Interface Board PCB SC-2060 Used Working 1
National Instruments 180935C-01 Interface Board PCB SC-2062 Used Working 1
RECIF Technologies STDAH0347D Interface Board PCB PCB0347B Used Working 1
RECIF Technologies STDAH0237A Pneumatic Output Board PCB PCB0237A Used Working 1
GaSonics 12N-010-13 Intel Mechanization Board PCB Card 05003-001 Used Working 1
RECIF Technologies MOBBH0131D CPU Processor Board PCB CPUAH0027A Used Working 1
Texas Instruments RI-CPU-0001-03 TI-RFID Board TIRIS RFID PCB Used Working 1
Texas Instruments RI-STU-MB6A-02 TIRIS RFM Board PCB RI-RFM-104B-01 Used Working 1
RECIF Technologies PWRAH0168A Power Supply Board PCB PCB0168A Used Working 2
Asyst Technologies 3200-1145-01 Modulated Photoelectric Amplifier Board PCB Used 1
Nikon 4S003-028 Relay Resistor Board PCB DCMD-L2 NSR-S306C Used Working 1
Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-5 v2.31 OPTISTATION 3 Used 6
SVG Silicon Valley Group 859-0727-010 Shutter Interlock Control PCB A2501 Used 1
FSI 290104-400 Pneumatic Chemfill Interface Board PCB 209104-200 Used Working 2
Nikon 4S008-036 Analog to Digital Converter Board PCB EP-X4 Used Working 3
Therma-Wave 14-002863 Trackball Interface Board PCB 40-002862 Used Working 1
Nikon Wafer Transfer Robot NSR-S306C 200mm DUV Scanning System Untested As-Is 1
Nikon 4S013-364 Interface Board PCB BLPWX4 NSR-S205C Used Working 6
Nikon 4S008-268 Power Supply Board PCB IU-PWR2-SRC Used Working 4
Nikon 4S013-363 Interface Board PCB BLECX4 Used Working 3
Daifuku CTV-3484A Interface Board PCB KK1984V-0 Used Working 1
RECIF Technologies STDAH0130C Interface Board PCB PCB0130B Used Working 2
Nikon 4S013-418 Robot Interlink Board RBTRLNK PCB Card Used Working 1
Jenoptik Infab 812100038 131-25 Interface Board PCB 013501-130-17I1 AEz1 Used 2
IEE 03601-82 Alphanumeric Fluorescent Display Board PCB 30703-03 Used Working 1
Thermonics 1B-088-1A T2420R Front Panel Board PCB 1B-084-1D Used Working 1
TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used 54
TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30B TAS300 Load Port Used 17
AMAT Applied Materials 0100-00579 System AC Distribution Board PCB Used Working 1
Delta Design 1937019-503 2400W PFC Boost Supply Board PCB Rev. G-R Used Working 3
Delta Design 1937019-501 2400W PFC Boost Supply Board PCB Rev. B Used Working 1
Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. C Used Working 46
Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. D Used Working 10
Teledyne Harmonic Filter Board 1002363800 Rev. B Used Working 2
Delta Design 2001-585-000 Power Supply Board PCB 2001-585-002 Used Working 5
Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. A Used Working 1
Mean Well PD-2512 Power Supply PCB PD-25-R3 Reseller Lot of 2 Used Working 5
Delta Design 1662040-501 AC Power Control 1308 Transformer Board PCB Rev. H Used 1
Motorola 0708601 SBC Single Board Computer PCB 01-W3527F Rev. F/C4 Used Working 2
ASM Advanced Semiconductor Materials 03-185614-02 WHS Sensor I/F Board PCB Used 1
ASM Advanced Semiconductor Materials 03-186004D01 Option I/F Board PCB Used 1
Delta Design 1941692502 Pick and Place Interface Board PCB Rev. F V1.47 Used 1
Schlumberger IX Pin Slice Single Board 97923140 Rev. 3 Used Working 1
Delta Design 1941692-501 Pick and Place Interface Board PCB Rev. F V1.47 Used 1
Delta Design 1947941601 Pick and Place Interface Board PCB Rev. D Used Working 1
Hitachi 571-71127 Hg-Lamp Position Monitor LMPMON1 571-7117 PCB I-900SRT Used 1
Horiba STEC HC-100A Readout Display Module HC-100 RKC REX-C100 Used Working 1
Coherent 0169-628-04AA Laser Emission Control Module 170C Innova Used Working 5
Tokyo Flow Meter USF100A-K10EP Ultrasonic Flow Meter USF100A Honda Lot of 2 Used 2
Tokyo Flow Meter USF100A-K15EP Ultrasonic Flow Meter USF100A Honda Lot of 2 Used 2
Honda Electronics USF100A-G20EP Ultrasonic Flow Meter USF100A Lot of 2 Used 1
+GF+ Signet 3-8750-1P pH/ORP Transmitter with 3-2720 Preamplifier Assembly Used 1
Schlumberger Control Board 97924121 Rev. D Used Working 2
Yamatake C40L6D0AS050D0 SDC40L Digital Indicating Controller SDC40 Used Working 3
ASML 4022.472.4428 Programmable Logic Device VME PCB Card Used Working 7
ASML 4022.471.5745 HSSL Processor VME PCB Card Used Working 1
ASML 4022.471.63192 Indicator Processor VME PCB Card Used Working 1
ASML 4022.471.8128 DC-DC-Converter VME PCB Card Used Working 1
ASML 4022.471.5027 VME Timing Control TCB Syncbus Trigg PCB Card Used Working 1
ASML 4022.471.63637 Fiber Optic Status Processor VME PCB Card Used Working 1
SBS Technologies 91415171 IP-Octal Plus-232-S00003 PCB AMAT 0190-12159 New 1
ASML 4022.471.6292 DC to DC Convertor Board PCB Card 05 Used Working 1
ASML 4022.471.6702 Interface Board PCB Card 16 4022.471.66981 Used Working 1
Teledyne 1001086000B VC-99 Interface Board working 2
ASML 4022.471.6700 Interface Board PCB Card 15 4022.471.66981 Used Working 1
ASML 4022.471.6697 Interface Board PCB Card 14 4022.471.66981 Used Working 1
ASML 4022.471.6285 Processor Board PCB Card 10 4022.471.62841 Used Working 1
ASML 4022.471.7420 Processor Board PCB Card 16 4022.471.74221 Used Working 1
ASML 4022.471.7421 Processor Board PCB Card 17 4022.471.74221 Used Working 1
ASML 4022.471.6276 Processor Board PCB Card 11 4022.471.62751 1/6 Used Working 1
ASML 4022.471.6282 Processor Board PCB Card 13 4022.471.62811 Used Working 1
ASML 4022.471.6607 AT IM ZZA T1100 RP Board PCB Card 19 4022.471.61591 Used 1
ASML 4022.471.7852 Interface Board PCB Card 18 4022.471.78531 Used Working 1
ASML 4022.471.6980 Interface Board PCB Card 20 4022.471.69811 Used Working 1
ASML 4022.471.6678 Interface Board PCB Card 17 4022.471.66791 Used Working 1
ASML 4022.471.6782 Interface Board PCB Card 03 4022.471.62591 Used Working 1
ASML 4022.471.6341 AT IM ISB2-3 RP Board PCB Card 09 4022.471.62721 Used 1
ASML 4022.471.6279 AT IM MC2 RP Board PCB Card 12 4022.471.62781 Used Working 1
ASML 4022.471.7155 Interface Board PCB Card 19 4022.471.71601 Used Working 1
ASML 4022.471.7156 Interface Board PCB Card 20 4022.471.71601 Used Working 1
ASML 4022.471.7157 Interface Board PCB Card 22 4022.471.71601 Used Working 1
ASML 4022.471.7158 Interface Board PCB Card 23 4022.471.71601 Used Working 1
ASML 4022.471.7159 Interface Board PCB Card 25 4022.471.71601 Used Working 1
Teledyne 1001840702A Power Supply Board working 2
ASML 4022.471.7153 Interface Board PCB Card 25 4022.471.71541 Used Working 1
ASML 4022.471.7152 Interface Board PCB Card 28 4022.471.71541 Used Working 1
ASML 4022.471.6712 Interface Board PCB Card 12 4022.471.56171 Used Working 1
ASML 4022.471.6809 Interface Board PCB Card 03 4022.471.57531 Used Working 1
ASML 4022.471.4657 Interface Board PCB Card S15 4022.471.46581 Used Working 1
ASML 4022.471.5845 Interface Board PCB Card S14 4022.471.58481 Used Working 1
ASML 4022.471.4504 MSL LED Indicator Board PCB Card Used Working 1
ASML 4022.471.6707 Interface Board PCB Card 01 4022.471.67081 Used Working 1
ASML 4022.471.7726 DC/DC Converter Board PCB Card 4022.471.77311 Used Working 1
ASML 4022.471.6943 DC/DC Converter Board PCB Card 02 4022.471.69441 Used 1
ASML 4022.471.7281 Relay LED Indicator Board PCB Card Used Working 1
ASML 4022.471.5673 Encoder Board PCB Card 100-0000-114 Used Working 1
ASML 4022.471.6418 Capacitor Board PCB Card Used Working 1
Motorola 01-W3839F25A MVME 2431 Processor PCB Card ASML 4022.470.6469 Used 5
ASML 4022.471.6363 Fiber Optic Processor VME PCB Card 4022.471.63651 Used 5
Nikon 4S065-531 Control Module LZR-OPT-2 NSR-S620D ArF Immersion Used Working 1
TEL Tokyo Electron 5087-400486-15 Dev.Solution 2 Valve CKD AMF-V-X1 Lithius Used 1
TEL Tokyo Electron 5087-400486-15 Dev.Solution 2 Valve CKD AMF-V-X1 Lithius Used 3
TEL Tokyo Electron 5087-400486-15 Dev.Solution 1 Valve CKD AMF-V-X1 Lithius Used 1
TEL Tokyo Electron 5087-400486-15 Dev.Solution 1 Valve CKD AMF-V-X1 Lithius Used 4
CKD AMF-V-X1 Valve AMF TEL Dev.Solution 2 5087-400486-15 Lithius DEV System Used 16
CKD AMF-V-X1 Valve AMF TEL Dev.Solution 1 5087-400486-15 Lithius DEV System Used 14
Nikon 4S604-203-1 Magnetic Linear Rail ULSI-2390-NQ21 NSR-S307E DUV Used Working 1
Nikon 4S604-201-2 Magnetic Linear Rail ULSI-2390-NQ11 NSR-S307E DUV Used Working 2
Nikon 4S604-203-2 Magnetic Linear Rail ULSI-2390-NQ11 NSR-S307E DUV Used Working 1
Nikon 4S587-625 AVIS2 ERG Amplifier SEA241A NSR-S307E DUV 300mm Used Working 5
Nikon Power Supply Module 4S001-107 NSR-S307E DUV 300mm Used Working 3
Regal FS-30S Flow Sensor Lot of 8 Used Working 1
Regal FS-30S Flow Sensor Lot of 8 Used Working 2
Nikon Power Supply Module 4S001-107 NSR-S307E DUV 300mm No Cover Used Working 1
Nikon Power Supply Module 4S001-102 NSR-S205C Step-and-Repeat Used Working 2
Kensington Laboratories WFH3B TT/LR/HS Wafer Handling Robot Used Working 1
TEL Tokyo Electron OEM Operation Manual Set Clean Track Lithius Used 3
TEL Tokyo Electron 5093-M00118-11 Electrical System Diagram Manual Lithius Used 1
Kensington Laboratories 4000D Servo Positioning Controller Tilt and Scan Used 1
RKC Instrument REX-F7 High Limit Controller 0-400°C Used Working 6
AVAL Data AVME-142 Processor Board PCB MPU-42 TSA-235C Used Working 1
AVAL Data AVME-352 6 Channel Interface PCB Board SIO Used Working 3
Motorola MVME-162-223 VME Interface Board PCB 01-W3059F Used Working 1
Tachibana Tectron TVME2500 VME PCB Card NEC-16T Rev. B TVME2500-CRD Used Working 1
Kuroda UV-510 Uniwire VME Interface Board PCB PB-0792-A IG6 Used Working 3
Nikon 4S013-487 Interface Board PCB IFIOPIF3 VME Card 4S015-259 NSR-S205C Used 2
Nikon LANRCSLIFX4 Interface Board PCB FarmVer. 1.01 NSR-S307E DUV 300mm Used 4
Nikon 4S001-093 Power Supply Board PCB PW-NK NSR Used Working 5
Nikon 4S001-092 Power Supply Board PCB PW-NK NSR Used Working 1
Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S205C Used Working 1
Nikon 4S018-852-3 Detector Board PCB EP-GW 1-677-707-13 NSR-S205C Used Working 1
Nikon 4S013-355 Control Board PCB LSIOP-A 4S015-227 NSR-S307E DUV Used Working 5
Nikon 4S013-355 Control Board PCB LSIOP-A 4S015-227 NSR-S307E DUV Used Working 1
Nikon 4S013-355 Control Board PCB LSIOP-A 4S015-227 NSR-S307E DUV Used Working 1
Nikon 4S018-922-B Control Board PCB IU-DRV1-X4P NSR-S307E Used Working 1
Nikon 2S700-555 Video Sync Board OST MST PCB 2S015-064-4 V5.16A Used Working 2
Nikon 4S015-316 CPU Control PCB Card ACP-112(Slave) NSR-S307E Used Working 3
Nikon 4S015-315 CPU Control Card PCB ACP-112(Master) NSR-S307E Used Working 1
Nikon 4S085-431-1 Carrier Module Card PCB 4S025-171 4S019-414-1 Used Working 1
Nikon 4S001-070-1 Power Supply Card PCB PW-NE DDP-029-A Used Working 3
Nikon 4S005-342 Interface Board PCB KAB11000/3401-1 Nikon OPTISTATION 7 Used Working 5
Nikon 4S018-768 Processor Board PCB NA-IOP-I/F PRT M-040 Used Working 1
Nikon 4S018-768 Processor Board PCB NA-IOP-I/F PRT M-040 Used Working 1
Nikon 4S003-057 Relay Board PCB STG-I/F1 KAB11005/501-0 Optistation 7 Used 5
Nikon 4S018-402 Interface Board PCB MTH-8SH Used Working 1
Nikon 4S018-866 Relay Control Card PCB PPD3X4 NSR-S205C Step-and-Repeat Used 1
Nikon 4S019-147-A Processor Control Board PCB REX-MTR NSR Used Working 4
Nikon 4S001-122-1 Power Supply Card PCB MSE378 NSR Used Working 1
Nikon 2S700-323-2 Relay Card PCB S-AF(H) 2S020-020-5 Used Working 2
Nikon 2S005-228-3 Relay Control Board PCB MCR-CNTRL2 Optistation 7 Used Working 5
Nikon 4S020-207-1 Processor Control Board PCB AF-CNT Optistation 7 Used Working 5
Nikon 4S019-461 Processor Card PCB BSA-OTH CAB03NK037 NSR Used Working 2
Nikon 4S019-460 Processor Card PCB BSA-MOT CAB03NK036 Used Working 2
Nikon 4S007-878-2 Interface Board PCB AF-I/FX22 NSR-S204B Step-and-Repeat Used 1
Nikon 4S007-879-1 Interface Board PCB AF-PSDX21 NSR-S204B Step-and-Repeat Used 1
Nikon 4S014-145 Interface Bus Board PCB AF-BUSX2 NSR-S204B Step-and-Repeat Used 1
Nikon 4S015-130-1 Interface Card PCB NK-C31D21 NSR-S204B Step-and-Repeat Used 10
Nikon 4S025-340-1 Interface Control Card PCB STGX8_HT NSR-620D Used Working 1
Nikon 4S025-350 RemoteIO-Comm Card PCB NSR-S620D Immersion Scanner Used Working 2
Nikon 4S015-172-1 Processor Card PCB NK-C441-1-50 NSR-S205C Used Working 3
Nikon 4S025-300-1 Interface Board PCB X8_WLDB NSR-S620D Immersions Used Working 2
Nikon 4S018-867 Proccesor Control Card PCB PPD3X4-I/F NSR 4S015-227 Used Working 2
Nikon 4S001-060 Power Supply Card PCB PW-NA NSR-S205C Step-and-Repeat Used 3
Nikon 4S025-356 IO-COM4 Interface Card PCB 4S013-768 NSR-S620D Used Working 3
Nikon 4S018-870-B Interface Board PCB ALGMTH-X42 NSR Used Working 2
Nikon 4S019-061 Processor Card PCB AVDRVX4VE NSR-S205C Step-and-Repeat Used 1
Nikon 4S018-723 Relay Board PCB PWMDRV1 NSR SEK-K Used Working 2
Nikon 4S001-065 Power Supply Card PCB MSE182C NSR Used Working 1
Nikon 4S018-693 Processor Control Card PCB FPIF-IMAC NSR-S307E DUV Used Working 3
Nikon 4S013-510 Interface Card PCB SPAIFX4B NSR-S307E DUV Used Working 1
Nikon 4S014-178 Linear Pulsemotor Control Card PCB ULYCNT NSR-S307E DUV Used 8
Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working 1
Nikon 4S003-057 Interface Board PCB STG-I/F1 KAB11005/501-0 Optistation 7 Used 1
Nikon 4S018-885 Interface Board PCB CPCI-IF NSR-S307E DUV Used Working 1
Nikon 4S025-719 Processor Control Card PCB STGXBV1_SL-WS NSR-S620D Used Working 1
Nikon 4S022-001 Single Board Computer IF3X8-2 NSR-620D Immersion Used Working 1
Nikon 4S015-499-FP Single Board Computer PCB NK-MPC7457 NSR-S620D Used Working 4
Nikon 4S025-072-1 Processor Card PCB IF2X8-HUB NSR-S620D Immersion Used Working 3
Keithley Multimeter 177 Microvolt DMM Used Working 1
Nikon 4S025-563 Interface Control Card PCB AFX8IF NSR-S620D Immersion Used 2
Nikon 4S001-142 Power Supply Relay Card PCB AFX8PW NSR-S620D Used Working 2
Nikon 4S008-119-1 Processor Control Card PCB XYDRV SNR-S307E DUV Used Working 3
Nikon 4S018-705 Relay Board PCB RL-LøHSP NSR-S204B Step-and-Repeat Used Working 1
Nikon 4S025-492 Processor Control Board PCB BK_WARIO NSR-S307E Used Working 1
Nikon 4S025-282-1A Interface Control Card PCB X8_WLIO NSR-S620D Used Working 1
Nikon 4S015-501-1 Single Board Computer PCB STG51 NSR-S620D Used Working 7
Nikon 4S019-645-1 Processor Control Card PCB AFX6BD1-MAIN NSR-S620D Used Working 3
Thermo Gas Tech Genesis Gas Detector working 1
Nikon 4S018-767 Interface Control Board PCB L.TEMPX4 NSR Used Working 1
Nikon 4S018-767 Interface Control Board PCB L.TEMPX4 NSR Used Working 1
Nikon 4S019-083 Interface Adapter Card PCB RST-ADPT NSR-S307E DUV Used Working 1
TEL Tokyo Electron 850 CPL Transition Chill Plate ACT12 200mm Used Working 1
HP Hewlett-Packard Pulse Function Generator 8116A Used Working 1
Kensington Laboratories CSMT Scanner and Tilt Arm Assembly CSMT-4 Used Working 1
Edwards D37215252 NIM Pump Net PCB Assembly 801-1047-01 55010-10 Used Working 8
Edwards D37215252 NIM Pump Net PCB Assembly 801-1047-51 55010R-10 Used Working 2
Edwards D37215252 NIM Pump Net PCB Assembly 801-1047-51 55010R-10 Used Working 11
Edwards D37232212 iH Sim NIM Board PCB 801-1047-01 Used Working 1
Edwards NRY0RH402 Vacuum Pump Interface Cable Reseller Lot of 6 Used Working 1
HP Hewlett-Packard D2572B System Control PC with Monitor Kensington CSMT-4 Used 1
Hitachi 560-5530 COL-CN2 Power Relay PCB Hitachi S-9300 CD SEM Used Working 3
TEL Tokyo Electron HTE-IFA-B-W1 IFB Add On Board PCB TAB5300-W ACT12 Used Working 1
Yokogawa DR1200A00 *1C/96S2895 DD Servo Actuator TEL Tokyo Electron ACT12 Used 1
Asyst 9700-6584-01 Advan Tag RFID Reader PB 90M with 9700-6224-02 Sensor Used 1
Asyst 9700-6584-01 Advan Tag RFID Reader ATR-9000 with 9700-6224-02 Sensor Used 1
Axcelis Microwave Waveguide Assembly ASTeX GL219 2M256 Untested As-Is 1
TEL Tokyo Electron X AXIS (CRA) IPL Career Station 300mm Wafer Handler Lithius Used 2
Kniel 303-013-04 .03 Power Supply PCB Card CP 8,5.2/SEMI ASML 4022.476.01311 Used 1
Aerotech MXH50-D-16M High Res Encoder Signal Multiplier EFN01009 Y-Axis Used 2
Aerotech MXH50-D-16M High Res Encoder Signal Multiplier EFN01009 X-Axis Used 2
Kniel 314-200-04 .03 Power Supply PCB Card CP 8,5.2/SEMI ASML 4022.436.72661 Used 1
Fisher Berkeley B00111-06 Ektacom Volume Module PE-14-R Used Working 2
Panasonic MSMA022S2G AC Servo Motor Clean Track Lithius Used Working 1
Panasonic MSMA041A1F AC Servo Motor MSMA041A43 Shimpo Reducer VRKF-9C-400 Used 1
Yaskawa SGDA-02A12A Servo Drive Servopack 200V Used Working 1
TEL Tokyo Electron 2985-423760-W3 IFB Interface Block Cooling ACT12-300 Used 2
Aera FC-D985CT-BH Mass Flow Controller MFC FC-D985C 100 CCM 4%H2/N2 Used Working 2
KLA Instruments Power Supply Rack KLA-Tencor AIT Fusion Used Working 1
TEL Tokyo Electron CPC-G229A01B-11 Pump Connection Board Clean Track ACT12 Used 1
Pro-Face GP377R-TC41-24V HMI Graphic Control Panel GP377R-MLTE41 Used Working 1
Yamatake DMC50 Multi-Loop Controller Set of 3 DMC50CS DMC50M Used Working 1
KLA-Tencor 0024480-000 Single Lens Right Assembly 0019774-000 AIT Fusion UV Used 1
KLA-Tencor 0022418-000 Reflector Lens Rev. AA AIT Fusion UV Used Working 1
Ebara 305W PUMP CONTROLLER Used 2
Aera FC-D980C MFC Mass Flow Controller Multi N2CF 1.000 USED 1
Aera FC-985C MFC MASS FLOW CONTROLLER 20SCCM CH2F2 USED 1
Aera FC-985CT-BF MFC MASS FLOW CONTROLLER 50SCCM C4F6 USED 4
Tylan General FC-2902MEP5 MFC MASS FLOW CONTROLLER 10SCCM O2 USED 1
Aera FC-D985C MFC MASS FLOW CONTROLLER 25SCCM CH2F2 USED 1
Tylan General FC-2950MEP5 MFC MASS FLOW CONTROLLER 200SCCM CL2 USED 1
Tylan General FC-2950MEP5 MFC MASS FLOW CONTROLLER 20SCCM O2 USED 1
Tylan General FC-2902MEP MFC MASS FLOW CONTROLLER 20SCCM C4F8 USED 1
Tylan General FC-2902MEP-T MFC MASS FLOW CONTROLLER 1000SCCM O2 USED 1
Tylan General FC-2950MEP5 MFC MASS FLOW CONTROLLER 5000SCCM O2 USED 1
Tylan General FC-2950MEP5 MFC MASS FLOW CONTROLLER 100SCCM O2 USED 2
Aera FC-D980C MFC MASS FLOW CONTROLLER Multi-2 USED 8
Aera FC-D980C MFC MASS FLOW CONTROLLER Multi 4 USED 5
Aera FC-D980C MFC MASS FLOW CONTROLLER Multi-5 USED 3
Aera FC-D980C MFC MASS FLOW CONTROLLER Multi-1 USED 2
Aera FC-D980C MFC MASS FLOW CONTROLLER 10SCCM O2 USED 3
Aera FC-980C MFC MASS FLOW CONTROLLER 50SCCM C5F8 USED 2
Aera FC-980C MFC MASS FLOW CONTROLLER 50SCCM SF6 USED 1
Aera FC-980C MFC MASS FLOW CONTROLLER 50SCCM SF6 USED 2
Aera FC-985CT-BF MFC MASS FLOW CONTROLLER 50SCCM O2 USED 2
Aera FC-985CT-BF MFC MASS FLOW CONTROLLER 100SCCM CF4 USED 1
Aera FC-985CT-BF MFC MASS FLOW CONTROLLER 150SCCM CF4 USED 1
Aera FC-D980C MFC MASS FLOW CONTROLLER 100SCCM CHF3 USED 1
Horiba STEC SEC-7440MC-302 MFC MASS FLOW CONTROLLER 500SCCM CO USED 1
Aera FC-D980C MFC Mass Flow Controller 20SCCM CF4F8 USED 1
Horiba STEC SEC-7440MC-302 MFC MASS FLOW CONTROLLER 20SCCM He USED 1
Tylan General FC-2950MEP5 MFC MASS FLOW CONTROLLER 100SCCM CL2 USED 1
Aera FC-980C MFC MASS FLOW CONTROLLER 5RA 50SCCM O2 USED 1
Aera FC-D980C MFC Mass Flow Controller 200SCCM Ar USED 1
Ebara 217407 PUMP CONTROLLER w/EMO switch USED 1
ATMI CAMP-000235 CANISTER NEW 5
MKS Instruments 252D-1-VPO Exhaust Valve Controller Type 252 Used Tested Working 1
UNIT Instruments UFC-8100 MFC Mass Flow Controller 20cc NF3 USED 1
Tylan General FC-2960 MEP5 MFC Mass Flow Controller 4SLPM N2 USED 1
Tylan General FC-2960 MEP5 MFC Mass Flow Controller 4SLPM N2 USED 1
UNIT Instruments UFC-1661 MFC Mass Flow Controller 750SCCM C12 Edge Card D-Sub RJ-45P PID FW: 1.02 USED 1
AERA FC-980 MFC MASS FLOW CONTROLLER FC-980 20SCCM O2 1
AERA FC-D980C MFC MASS FLOW CONTROLLER FC-D980C 200SCCM N2 5RA 1
AERA FC-985CT-BF MFC MASS FLOW CONTROLLER 100SCCM CF4 1
TYLAN FC-2950MEP5 MFC MASS FLOW CONTROLLER 2950 200SCCM CL2 1
TURBOTRONIK NT 20 Leybold 855 62 Pump Controller NT20 SW2.5 Tested Working Spare 1
LPG-1AT ENI LPG-1ATM3 RF Generator 100W @ 450KHz Used Tested Working 1
Aera FC-D980C MFC Mass Flow Controller 100SCCM N2 USED 1
Tylan General FC-2960MEP5 MFC Mass Flow Controller 10SLPM N2 USED 1
Tylan General FC-2960MEP5 MFC Mass Flow Controller 0.05SLPM N2 USED 1
Tylan General FC-2960MEP5 MFC Mass Flow Controller 500SCCM N2 USED 1
Tylan General FC-2960MEP5 MFC Mass Flow Controller 20SLM N2 USED 1
Tylan General FC-2910V MFC MASS FLOW CONTROLLER 2900 50SLPM O2 USED 1
Blackston Ultrasonics 910217 WJ TANK NEW 1
Haskris WW1 Chiller sold as-is 1
Nikon 4S064-957 DC Power Supply VDBC0002902 Used Working 1
Nikon 4S019-460 PCB Circuit Board BSA-MOT Used Working 4
Nikon 4S019-461 PCB Circuit Board BSA-OTH Used Working 4
Nikon TCCNT 2S700-582-1 PCB Circuit Board 2S014-035-5 Used Working 7
Nikon CCCNT 2S700-583-1 PCB Circuit Board 2S014-036-4 Used Working 7
Nikon SCNT 2S700-581 PCB Circuit Board 2S014-034-1 Used Working 6
Nikon PCB Circuit Board SCPU 2S700-580-1 2S014-033-4 7
Daihen SMA-20B Microwave Assembly Hitachi M-712E used working 1
AMAT Circuit Board Focus PSU Interface 0100-01877 AMAT Quantum working 14
AMAT Circuit Board Decel PSU Interface 0100-01697 AMAT Quantum working 13
AMAT 0100-91015 Circuit Board Monitor Interface Rev E AMAT Quantum used working 5
AMAT Guiding Tube Circuit Board 0090-91085 AMAT Quantum Impanter working 5
AMAT 0100-94078 Argon Oxygen Bleed and Charge Monitor Circuit Quantum X works 7
Nikon Circuit Board 4S018-885 CPCI-IF NSR-S307E Nikon Control Rack Sub Alignment 1
Nikon NSR SEA141A 4S587-624 AVIS1 ERG AMP 4S013-374-2 Nikon NSR-S205C used works 3
MDG039 SL-3020 Screen Circuit Board PMCDIV PC-97010 DNS Screen FC-3000 5
PG104L-04 Mycom Circuit Board MY5211-047A M4COM DNS Screen FC-3000 5
DNS SL-1011 Screen Circuit Board HLS-VME2 PC-97002A DNS Screen FC-3000 2
DNS SL-3010 Screen Circuit Board COMDIV PC-97009 DNS Screen FC-3000 1
PC-97010 M4COM PC-97002A 252SE PC-97009 DNS Screen FC-3000 Chassis used working 1
Nikon Sony EP-GW Circuit Board 4S018-852-3 1-677-707-13 BD29A Nikon NSR-S205C 3
Nikon IFIOPIF NK8601A Circuit Board 4S013-355 4S015-227 Nikon NSR-S307E working 3
AMAT 0100-01698 Source Magnet Control PCB Quantum X Beamline Rack used working 3
AMAT 0100-01415 Vendor Interface A Mag PCB Quantum X Beamline Rack used working 12
AMAT Vendor Interface Pre-Accel 0100-01319 Circuit Board AMAT Quantum X 10
AMAT TPDU Monitor 0100-90492 Circuit Board AMAT Quantum X Beamline Control Box 17
AMAT Contacter Drive 0100-90385 Circuit Board AMAT Quantum X working 15
AMAT daq Mk11 type H 0090-90967 Circuit Board AMAT Quantum X working 25
AMAT Applied Materials Beamline Vacuum Control panel 0100-90533 AMAT Quantum X 17
AMAT Turbo Pump Interface 0100-01326 Circuit Board AMAT Quantum X working 15
AMAT Vacuum Gauge Interface 0100-90710 Circuit Board AMAT Quantum X Beamline Control Rack Rev D working 16
AMAT Applied Materials 0100-90881 Vacuum Interlock PCB Card Used Working 9
AMAT Enhanced Purge I/Lock 0100-01925 Circuit Board AMAT Quantum X working 9
AMAT 0100-00985 HP Gas Interlock PCB Rev B Quantum X used working 13
Nikon 4S017-866-B Circuit Board Interferometer STGLIUIF Nikon NSR Working 1
Nikon 4S018-379 Circuit Board SPIOX2 Nikon NSR-S204B working 1
Tylan FC-2979MEP5-WM Mass Flow Control 1.5 SLPM O2 used working 1
Nikon 2S015-064-4 Circuit Board MST 2S5700-55 working 4
Nikon Optistation 7 Circuit Board STG-I/F1 2S003-0567 working 1
Nikon Optistation 7 Circuit Board MCR-CNTRL2 KAB11000/3802-3 2S005-228 working 5
Nikon Optistation 7 Circuit Board AF-CNT-KAB11110/3201-0 4S020-207-1 working 5
Nikon Optistation 7 Circuit Board MIC-CNT-KAB11000/3401-1 4S005-342-2S005-230 5
Nikon 4S001-070-1 Power Supply Board PW-NE working 2
Nikon 4S018-402 Circuit Board MTH-8SH working 2
Opal 50312570000 Circuit Board CVC Board AMAT SemVision cX 300mm used working 3
Nikon 4S014-178 LDYCNT Circuit Board Nikon NSR-S307E Wafer Loader works 0326893 4
Nikon 4S008-119-1 XYDRV Circuit Board Nikon NSR-S307E Wafer Loader works A40017 3
Opal 50312560000 Circuit Board CCS Board AMAT SemVision cX 300mm used works 3
Opal 50312575100 Circuit Board ETD Board AMAT SemVision cX 300mm used working 3
Opal 50312540200 Circuit Board DVD Board AMAT SemVision cX 300mm used working 3
Opal MIS2 Board 30612550000 Circuit Board AMAT SemVision cX 300mm used working 3
Opal 30612530100 Circuit Board SRA3 Board AMAT SemVision cX 300mm used working 3
Nikon WL3M0T5 Circuit Board 4S007-953-A Nikon NSR-S204B Wafer Loader working 3
Ultrapure DI/Glycol Tank used working 1
Nikon 4S587-470-3 Linear Motor Controller SPA452B Nikon NSR-S307E Wafer Loader 4
Nikon 4S587-625 AVIS2 ERG AMP SEA241A Nikon NSR-S307E used working 5
Nikon 4S588-087-1 RF Linear Motor Controller SPA355C Nikon NSR-S307E used works 4
Nikon 4S587-472-2 Linear Motor Controller SPA454B Nikon NSR-S307E used working 1
Nikon 4S018-693 FPIF-IMAC Circuit Board Nikon NSR-S307E Wafer Loader working 4
AMAT 0100-00970 Spin Window Circuit Board AMAT Quantum X Control Rack working 4
AMAT 0100-91087 SOL/FIL EXT Interface Circuit Board AMAT Quantum X Control Rack 3
AMAT 0100-90650 Wheel Current Circuit Board AMAT Quantum X Beamline Control Rack 4
AMAT 0100-01844 Charge Voltage Circuit Board AMAT Quantum X Beamline Contrl Rack 4
Nikon 4S019-147-A Circuit Board REX-MTR Nikon NSR 5
Nikon 4S003-057 Circuit Board STG-I/F1 KAB11005/501-0 NikonOptistation 7 works 6
Nikon 4S018-723 Circuit Board PWMDRV1 Nikon NSR 3
Nikon 4S018-922-B Circuit Board IU-DRV1-X4P Nikon NSR working 1
DIP 294-2 Device Net I/O Block 9090-00273 AMAT Quantum X Process Module working 17
Nikon 4S001-122-1 Circuit Board Nikon NSR 2
Nikon 4S019-432 C-Power Module Circuit Board Nikon NSR 2
Nikon 4S015-315-ACP-112 Circuit Board Nikon NSR 2
Nikon 4S015-316-ACP-112 Circuit Board Nikon NSR 6
Nikon 4S018-768-NA-IOP-I/F Circuit Board 2
AMAT Applied Materials 9090-00314 Circuit Board daq Mk11 Type H 1
Nikon 4S001-093 Circuit Board Power Supply Nikon NSR 6
KLA Tencor 376299 Rev AB ISA13/PCI6 Backplane Circuit Board KLA SP1-TBI working 1
KLA-Tencor Operator User Interface Surfscan SP1 TBI Untested As-Is 2
Nor-Cal Products ESV-1002-NWB Manual Angle Isolation Valve used working 2
Nikon 2S700-323-2 PCB Circuit Board 2S020-020-5 Used Working 3
Festo LR-M1-G1 Regulator Valve Lot of 8 used working 1
SMC SS5T3-42-04-CSF-Q Z-3797 Manifold SY3340R-5LOU-Q SY3240-5LOU-Q Lot of 9 1
Nikon NSR-S204B Pneumatic Block used working 1
Nikon 4S013-510 PCB SPAIFX4B Nikon NSR-S307E used working 1
Nikon NSR-S205C Wafer Stage Cable Guide Main Body Used Working 1
Nikon NSR-S205C 4S018-867- PPD3X4-I/F Circuit Board w/ Aux Board used working 2
Nikon 4S018-866- Circuit Board PPD3X4 Nikon NSR-S205C used working 2
MKS Type 640 Pressure Controller 640A12TW1VA2V 100 TORR used working 1
Nikon 4S015-215 LC ADPT Circuit Board Nikon NSR-S307E used working 1
Nikon 4S587-468-2 WX Linear Motor Controller SPA451A Nikon NSR-S307E used works 1
Nikon 4S588-087 RF Linear Motor Controller SPA355B Nikon NSR-S307E used working 1
Nikon IFIOPIF NK8601A Circuit Board 4S013-355 4S015-227 Nikon NSR-S205C working 2
Nikon LANRCSLIFX4 Circuit Board Assembly Nikon NSR-S307E used working 4
Nikon 4S001-065 Circuit Board Nikon MSE182C NSR-S307E used working 1
Nikon 4S019-288-1 Circuit Board IFISIGCOR Nikon NSR-S205C used working 5
Nikon 4S013-487 PCB IFIOPIF3 w/ 4S015-259 PCB NK8241 Nikon NSR-S307E used works 3
Nikon 4S019-288-1 Circuit Board IFSIGCOR Nikon NSR-S307E used working 8
Nihon Koshuha MBA-010-H-2 1kW RF Matching Box Assembly used working 1
Nikon 4S019-262 Circuit Board IU-DRV2-X4B1 used working 2
Aera FC-D985CT-BH Mass Flow Controller CF4(0.419) 200 CCM used working 2
Aera FC-D985CT-BH Mass Flow Controller 4% CH4/Ar 500 CCM used working 2
Aera FC-D985CT-BH Mass Flow Controller 4% H2/N2 100 CCM used working 2
Nikon 4S018-870-B Circuit Board ALGMTH-X42 Rev C used working 2
Edwards NRB086000 Helios Gas Abatement Unit ASM Epsilon 3200 used working 1
MKS 152H-P0 type 152 Automatic Pressure Controller GaSonic A-2000LL used sold as 2
AMAT 0100-01485 X Sensor PCB Rev B AMAT Quantum X PRA Rack used working 9
AMAT 0100-01486 Y Sensor PCB Rev B AMAT Quantum X PRA Rack used working 9
AMAT 0100-01487 Gripper IN LL PCB Rev B AMAT Quantum X PRA Rack used working 3
AMAT 0100-01488 Z Sensor PCB Rev B AMAT Quantum X PRA Rack used working 4
AMAT 0100-01489 E-Chuck PCB Rev A AMAT Quantum X PRA Rack used working 4
AMAT 0100-01491 Beam Align PCB Rev C AMAT Quantum X PRA Rack used working 2
AMAT 0100-01490 Faraday Alignment PCB Rev C AMAT Quantum X PRA Rack used working 9
Shinwa RCV-3-11E-D Motor Valve 1
Nikon 4S065-531 LZR-OPT-2 PCB Module Nikon NSR-S620D used working 1
Nikon 4S015-130-1 PCB NK-C31D21 Nikon NSR-S204B Lot of 5 used working 1
Nikon 4S019-156 Circuit Board DVRVX4B Nikon NSR-S307E used working 12
Nikon 4S015-261 Circuit Board NK-C44-60R Nikon NSR-S307E used working 27
ASML ASSY 859-0927-009A Circuit Board MAJN032 859-0904-007A used working 1
ASML ASSY 859-0927-009A Circuit Board MAJN032 859-0904-007A used working 1
ASML ASSY 854-8301-006C Circuit Board 851-8240-007K 854-8302-003B used working 1
ASML ASSY 854-8301-006C Circuit Board 851-8240-007K 854-8302-003B used working 1
ASML ASSY 859-0741-006B Circuit Board DSP Mother Board 851-8240-007K working 2
ASML ASSY 859-0741-006B Circuit Board DSP Mother Board 851-8240-007K working 2
ASML ASSY 859-0743-018-C Circuit Board 858-8040-012A 851-8240-008A used working 1
ASML ASSY 851-8226-008B Circuit Board 4 Axis Counter A1212 used working 1
ASML ASSY 851-8226-008B Circuit Board 4 Axis Counter A1212 used working 1
ASML 859-8218-002Q Circuit Board ADC/ELPS Interface A1206 used working 1
ASML 859-8218-002Q Circuit Board ADC/ELPS Interface A1206 used working 2
ASML ASSY 859-8379-001B Circuit Board 851-8554-003F SBX/STD BUS used working 1
ASML ASSY 859-8379-001B Circuit Board 851-8554-003F SBX/STD BUS used working 2
ASML RadiSys Corp 879-8103-002-A Circuit Board used working 2
ASML 859-8147-001E Circuit Board 851-8833-001A 859-0529-004J used working 1
ASML 859-8147-001E Circuit Board 851-8833-001A 859-0529-004J used working 2
ASML RadiSys Corp 859-8150-002B Circuit Board used working 1
ASML RadiSys Corp 859-8150-002B Circuit Board used working 2
ASML ASSY 859-0743-017C Circuit Board 858-8040-012A 851-8240-007K used working 1
ASML ASSY 851-8226-008A Circuit Board 4 Axis Counter A1212 used working 1
ASML ASSY 854-8301-006A Circuit Board 854-8302-003A 851-8240-007H used working 1
ASML ASSY 859-0741-006A Circuit Board DSP Mother Board 851-8240-007K working 2
ASML ASSY 859-0743-017A Circuit Board 858-8040-012A 851-8240-007K used working 1
ASML ASSY 859-0927-008-G Circuit Board 859-0904-006C used working 1
ASML ASSY 859-0927-008F Circuit Board 859-0904-006C used working 1
ASML ASSY 859-0743-010A Circuit Board 858-8040-007D 851-8240-007F used working 1
ASML ASSY 859-0741-004-G Circuit Board 851-8240-007F used working 1
ASML ASSY 859-0942-004G Circuit Board 851-8240-007G used working 1
ASML ASSY 851-8226-008A Circuit Board A1212 4 Axis Counter used working 1
ASML ASSY 859-8218-002F Circuit Board A1206 ADC/ELPS Interface used working 1
ASML RadiSys 879-8103-001A Circuit Board used working 1
ASML 859-8147-001B Circuit Board 859-0529-004A 851-8833-001A working 1
ASML RadiSys 859-8150-002A Circuit Board used working 1
ASML ASSY 859-8379-001-02 Circuit Board SBX/STD BUS 851-8554-003E used working 1
V-Tex 3D80-001812-V1 Door Slit Valve Rollcam TEL Tokyo Electron T-3044SS Used 1
Horiba STEC PV-2103MC Piezo Valve PV-2000 used working 1
Nikon 4S018-767 Circuit Board L.TEMPX4 used working 1
Nikon 4S025-719 Circuit Board STGX8V1_SL-WS Nikon NSR-S620D used working 1
Nikon 4S025-356 PCB IO-COM4 w/ Sub-PCB 4S013-768 Nikon NSR-S620D used working 1
Nikon 4S025-300-1 PCB X8_WLDB Nikon NSR-S620D used working 2
Nikon 4S019-645-1 PCB AFX6BD1-Main w/ 2 AFX6BD1 Nikon NSR-S620D used untested 3
Nikon 4S025-072-1 PCB IF2X8-HUB Nikon NSR-S620D used untested 1
Nikon 4S025-350 Remote IO-COM PCB Nikon NSR-S620D used untested 1
Nikon 4S015-490-1 PCB NK-MPC7547-1200DS2 Nikon NSR-S620D used untested 6
Nikon 4S015-499 PCB w/ 4S015-500 & 4S025-552 used working 4
Nikon 4S025-476 PCB w/ Sub M00452 Nikon NSR-620D used untested 1
Nikon 4S022-001 Single Board Computer Nikon NSR-620D used working 1
Nikon 4S025-340-1 Single Board Computer Nikon NSR-620D used working 2
Nikon 4S025-340-1 Single Board Computer Nikon NSR-620D used working 2
Nikon 4S001-142 Single Board Computer Nikon NSR-620D used working 1
Nikon 4S025-282 Circuit Board X8_WLIO Nikon NSR-S620D used working 1
Asyst 9700-6584-01 Advan Tag RFID PB 90M Rev E Kokusai DD-1203V 300mm working 1
Vat 96603-01 Pendulum Plate used working 1
Kokusai Electric CX1229-2 Controller Module Kokusai Vertron Used Working 1
Nikon 4S587-604 Switch Box WYL SWB1 used working 1
Nikon 4S018-705 PCB RL-L0HSP NSR-S204B used working 1
Nikon Wafer Slider Side Slider Broken End Effector NSR-S204B Used Untested As-Is 1
Nikon 4S008-114 PCB ALGAF-PA-X4+ used working 1
AMAT 0100-02195 SDS Gas Interlock PCB Rev A Quantum X used working 1
GPI REAH-THI-400-M Temperature Humidity Controller SVG 90 used working 1
VAT 61144-PH52-AAM1 Throttle Valve Used Working 1
Nikon Circuit Board 4S001-061 PW-NB Nikon NSR-S205C Control Rack working 1
Nikon 4S008-115 PCB Circuit Board ALGAF-P/D-X4 Used Working 3
RGA-50C Daihen RGA-50C-V RF Generator 3D39-050099 Used Tested Water Leak As-Is 1
Hitachi Kokusai F12K1550VH Left and Right Tube Furnace Used Working 1
RGA-50C Daihen RGA-50C-V RF Power Generator Used Tested Working 6
RGA-50C Daihen RGA-50C-V RF Generator 3D39-050099 Tested Low Output 18W As-Is 1
RGA-50C Daihen RGA-50C-V RF Generator 3D39-050099 Tested Low Output 30W As-Is 1
Soft Switching Technologies DS10002A120VS410001A Dynamic Voltage Sag Corrector Mini DYSL New 6
Pall ABFG1GPQL3EH1-K3 Ultikleen G2 Excellar Filter New 2
Burks 22850-6-MV Viton Repair Kit for 3CR6M 3CR6MV 22850-6MV Series Pumps New 8
AMAT Applied Materials 0240-23355 HTHU Heat Shield Kit Rev. B W/C 6" New Spare 2
Nanometrics 013-4291 Lower Window KRES-5 Lens Assembly Used Working 1
Oseco DI5-0590-002 Rupture Disc 056789-1-1 V-3666-1 Reseller Lot of 2 New 1
Kensington Laboratories 4000-60053 Axis Robot Piggyback Board PCB Used Working 2
Swagelok NXT-DRP161AFJFJ-C Large Body Radial Diaphragm Valve NC Actuator New 2
HVA High Vacuum Apparatus 11210-02023RS-001 Pneumatic Gate Valve NW50 Used 1
Nanometrics 899-0633 IR Source Assembly 643-0010 Reseller Lot of 2 Used Working 1
Celerity UFC-1661 Mass Flow Controller MFC UNIT 1661 10 SLM N2 Used Working 1
Celerity UFC-1661 Mass Flow Controller MFC UNIT 1661 10cc MFlo SC10 Refurbished 3
Fujikin FPR-ND-71-6.35-2 Diaphragm Valve Reseller Lot of 9 New Spare 1
Fujikin FPR-ND-71-6.35-2-ALC Diaphragm Valve Reseller Lot of 2 New Spare 1
KLA-Tencor 58-0090 Rotary Stage Seal Rebuild Kit Reseller Lot of 4 New Spare 1
Disco MOENHE69--B Oil Stone Reseller Lot of 2 New Spare 1
Axcelis Technologies 203669 Lollipop Microwave UV MOD H Lamp New Spare 1
Parker 060916V8562 Parofluor O-Ring 6-916 V8562-75 Reseller Lot of 6 New Spare 1
AMAT Applied Materials 3400-01435 Flex Braid Hose Assembly Swagelok New Spare 1
Swagelok SS-BN4-K1 PCTFE Bellows Stem Tip Adapter Kit Reseller Lot of 2 Valve 1
VAT 205048 Crank Bolt Kit 1000213930 Series 140 Reseller Lot of 2 New Spare 1
Gatan 656.07542 Felt Polishing Ring 15mm Reseller Lot of 45 JEOL New Spare 1
APTech AP3580S 2PW FV4 FV4 High Purity Valve AP3580S-2PW-FV4-FV4 New Spare 1
Fujikin CWLV-4-3-14R Block with Seal 1.125 Right Gas Feed Reseller Lot of 4 New 1
Fujikin CWLV-4-3-14L Block with Seal 1.125 Left Gas Feed Reseller Lot of 4 New 1
Fujikin CWL-4X4BW Block with Seal Single Port VCR Reseller Lot of 22 New Spare 1
Fujikin CWV-4-14 Block with Seal Two Port VCR Reseller Lot of 24 New Spare 1
FSI 290121-400 System/Logic Chemfill Interface PCB 290121-200 Edwards Vacuum New 2
Kensington SB91268-01 SBC Single Board Computer PCB Card 4000-60010 V13.54 Used 1
Kensington SB93102-01 SBC Single Board Computer PCB Card 4000-60010 Used Working 1
SXM AFM7109 Resonant Frequency Lock-In Board PCB Used Working 1
Yield Engineering 780-10197-01 Stainless Steel 200mm Wafer Boat KLA-Tencor New 1
West Coast Quartz 91-00367B Quartz External 8" Ring AMAT Applied Materials New 3
AMAT Applied Materials 0040-99957 195mm Semi Notch Shell Assembly ESC DPS New 1
LTD Material 10299-000 Cover Quartz ESC NGDT New Spare 2
LTD Material 10945-000 Quartz DC Bias Plug NGDT Reseller Lot of 8 New Spare 1
FSI 290122-400 System/Logic Chemfill Interface PCB 290122-200 Edwards Vacuum New 4
Oerlikon Leybold 13750 Exhaust Silencer Kit Reseller Lot of 2 New Spares 1
AMAT Applied Materials 0020-31276 Plug DC .6 SHAFT SIC-SI DOPED Lot of 14 New 1
Pfeiffer PU E22 001-T Diaphragm Vacuum Pump Replacement Kit MVP 015 New 1
Glemco 99407 High Temperature Filament Clamp Source GSD Reseller Lot of 5 New 1
Axcelis Technologies 17099201 Strike Plate Reseller Lot of 3 New Spares 1
Swagelok SS-FM4SL4SL4-12 Stainless Steel Convoluted Hose Reseller Lot of 2 New 1
Cosel K150AU-24 24V Power Supply Reseller Lot of 2 Used Working 1
Fujikin FUNSDLT-21G-6.35UGC#A Valve Fine Series Reseller Lot of 12 New Spares 2
Omega Engineering PX02Z0010-002GI Transducer 0-2 psig Omegadyne New Spare 1
LTD Material 10424-000 Quartz Chamber Tube 3500 New Spare 2
Ulvac Technologies 1012397 Support SS Mandala H2O Cooling Ring Lot of 2 New 1
Precision Plus Vacuum Parts PPL-23970211 Reseller Lot of 181 Leybold New Spares 1
Precision Plus Vacuum Parts PPL-23973146 Reseller Lot of 200 Leybold New Spares 1
Brooks Automation 4301G01 Controller Unit Sentry Supervisor New Spare 1
AMAT Applied Materials 0100-35124 Seriplex I/O Distribution Board PCB Card Used 2
Lam Research S715-011622-101 Lifter 4-Pin Memory Metal Reseller Lot of 3 New 1
Lam Research 715-013477-002 PL MTG WFR NOZ Support Plate New Spare 1
Rayovac RAY2312 Battery Lam Research 663-091342-001 Turbo Lot of 10 New Spares 1
Swagelok SS-43ZF2 Stainless Steel 1-Piece 40 Series 5-Way Ball Valve New Spare 1
Oseco 303241-001 Rupture Disc Edwards Vacuum Reseller Lot of 5 New 1
KLA Instruments Pneumatic Vibration Isolator Legs Set of 2 KLA-Tencor 21xx Used 1
MKS Instruments 161-0050K Inline Manual Valve New Spare 1
Logitrade 1-990522 Magnet Card PCB Genius Coil Current Ferro AP&T0522 Used 1
AMAT Applied Materials 0100-09011 AI MUX/CURRENT SENSE PCB Rev. G P5000 Used 1
AMAT Applied Materials 0021-37702 Side Receiver Right 200mm 316-EP New Surplus 1
Lam Research 663-091342-001 Battery Controller New Surplus 1
MKS Instruments CB700-1-10 Baratron Manometer Cable Reseller Lot of 5 New Spares 1
Swagelok NXT-DRP161AFGFG-WH Large Body Radial Diaphragm Valve Actuator New 2
Net Flow Research 826308B 4-Channel Temperature Probe Assembly New 6
ASM Advanced Semiconductor Materials 16-321411C01 Lifter Susceptor Ring New 2
Lam Research 734-094637-001 Quartz Window O-Ring Reseller Lot of 5 New 1
Swagelok SS-4BK-K5 Stem Tip/Adapter Lam 796-002673-001 Reseller Lot of 20 New 1
Furon UPM2-F1212-M UPM 1000 Manually Actuated 2-Way Diaphragm Valve New Spare 2
ESI ES-1313-0200 2.0 mm ID Platinum Injector for Agilent ICPMS New Spare 1
KLA-Tencor CS-916-3 Needle QTX Backside Chuck Set of 2 New Spares 1
Axcelis Technologies 93221 Fusion Probe Tip New Spare 1
Novellus Systems 93-0122 Hall Effect Horizontal Sensor Assembly New Spare 1
Oriental Motor PX243-01AA Stepping Motor VEXTA Nanometrics 013-4033 New Spare 1
Veeco AMF 8153 1-D Tip Assembly Dektak SXM Atomic Force Microscope New Spare 1
Net Flow Research 826308B 2-Channel Temperature Probe Reseller Lot of 12 New 1
Swagelok SS-44X56-UC-1466 1-Piece 40 Series 3-Way Ball Valve New Spare 1
Expertech 27004971 Bypass Valve Micrometer Seal Kit Reseller Lot of 3 New Spares 1
NKS W2001WF-8PS1X Lead-Screw Lam Research 854-011153-002 New Spares 1
Lam Research 853-015130R-002-P-ELMPNE RF Match Drive 853-015130-002 Refurbished 2
Eurotherm PC3000 AI/VERSION 3/MV4 4-Channel Analogue Input Module New Spare 1
Eurotherm PC3000 AO/VERSION3/V4 4-Channel Analogue Output Module New Spare 1
Eurotherm PC3000 DO/VERSION3/LGC12 Logic Digital Output Module New Spare 1
Net Flow Research 826308B 2-Channel Temperature Probe Reseller Lot of 12 Used 1
Eurotherm PC3000 RIM/VERSION3/ Rack Interface Module PC300#388169 New Spare 1
Eurotherm PC3000 DI/VERSION3/CC14 14-Channel Dry Contact Input Module Used 1
Eurotherm PC3000 LCM-PLUS/VERSION3/NOMEM/NOMEM/320 Local Control Module Used 1
ESI ES-2122-5351 35mm PFA Spray Chamber w/Endcap Agilent 7500/HP ICPMS New Spare 2
ASM Advanced Semiconductor Materials 04-324594A01 200mm Side TC Sheath Kit New 1
RECIF Technologies SPCH Wafer Handling Wand Support Reseller Lot of 8 Used 1
Humphrey HMRGH20X18" I/O Door Vertical Cylinder Novellus 93-0099 New Spare 1
SUSS MicroTec B01SBND SB8 Chamber Leveling Plates Kit Used Working 1
SCP Santa Clara Plastics 3270171A Auxiliary Relay Unit MCS ARU Module Used 1
SCP Santa Clara Plastics 3270171F Auxiliary Relay Unit MCS ARU Module Used 1
Schumacher BK1200SSB Stainless Steel Bubbler Ampule Used Working 1
SCP Global Technology 00018633 Auxiliary Relay Unit SCP MCS ARU Module Used 1
Novellus Systems 97-3856 DCE Tube Clean Mod Upgrade Kit with MFC & Hardware New 2
AMAT Applied Materials 0010-09181 Precision 5000 Platform DC Power Supply Used 1
Mitsubishi P90U Video Copy Processor Video Printer P90 Used Working 1
Mitsubishi P90W Video Copy Processor Video Printer P90 Used Working 1
Sony Video Copy Processor Video Printer UP-870MD UP-890MD Lot of 2 Damaged As-Is 1
ASM Advanced Semiconductor Materials 3553116-01 Diaphragm Blowout Port Lot of 4 1
Nor-Cal 3870-02286 Angle Valve Assembly 0040-36023 AMAT Applied Materials Used 15
Ebara A10S Multi-Stage Dry Vacuum Pump 32823 Hours Tested Working Spare 1
JEOL BP102104 Connector PC Board PCB 4TP-1B815 JWS-7555S SEM Working Spare 1
SRC SEC-220VE Extender Board PCB Card JEOL JWS-7555S SEM Working Spare 1
Nor-Cal AIV-1502-CF Angle Valve Assembly 0040-36023 AMAT Applied Materials Used 5
AMAT Applied Materials 0224-46313 Valve Assembly Swagelok Nupro 6LV-BN8BW8 Used 3
Nor-Cal Products 3870-01212 Pneumatic 3-Way Isolation Valve AMAT Used Working 1
MKS Instruments 161-0040K Inline Manual Valve Used Working 2
Nor-Cal Products 3870-02286 Manual Angle Valve AMAT Used Working 1
AMAT Applied Materials 0040-36024 Vacuum Tube 5 Inch NW40 Lot of 2 Used Working 7
AMAT Applied Materials 0224-43876 Vacuum Tube 2 Inch NW40 Lot of 3 Used Working 1
MARTEQ 1076701.1.1 Matching Transformer with Transducer Rev. F Lot of 2 Used Working 6
Telemecanique LC1F150 3-Pole Contactor Square D Used Working 1
AMAT Applied Materials 0150-20112 EMO Generator 1/2 INT Cable Assembly New 1
Delatech CDO 857 LED PCB Board Used Working 1
CTI-Cryogenics 8031135 3/4" Cryogenic Helium Coupling Adapter Lot of 3 New 1
Nidek S1145-PC2277A IM-14 Wafer Loader PCB Board IM-11 MAIN/11 Used 2
Oriental Motor CSD5714D 5-Phase Driver PCB Board Vexta Used Working 2
Nidek S1470-PC2592A Wafer Autoloader PCB Board IM14-MF1 OF/? Used Working 1
Nidek S1145-PC2281A Wafer Autoloader PCB Board IM-11 LD/11 Used Working 1
PRI Automation BM23475L14RH PCB Board PC23475 Used Working 1
PRI Automation BM18251 PCB Board PB18251 BM18251/G Used Working 1
PRI Automation PB18251 PCB Board Used Working 1
Entegris H9200-0023 200mm Ultrapak Wafershield Wafer Transport Lot of 8 New 8
Leybold Inficon 903-001-63 Transpector Gas Analysis System IPC-50 Turbovac Used 1
Sti MS4300 Light Curtain Set 42672-0280 Transmitter 46287-0280 Receiver Used 1
Sti MS4300 Light Curtain Set 42687-0240 Transmitter 42672-0240 Receiver Used 1
Sti MS4312B-2 Light Curtain Transmitter MiniSafe-B MS4300B-2 Series Used Working 1
Sti MS4316B Light Curtain Reciever miniSafe-B Used Working 1
Sti MS4324B Light Curtain Reciever miniSafe-B Used Working 1
Sti 42672-0280 Light Curtain Reciever MS4328BR MiniSafe MS4300 Used Working 1
Molecular Analytics 5703121-96-30 AirSentry-IMS System AMI/ACID Incomplete As-Is 1
FSI International 400-A/N290207 PCB Board B/N290207-200 Used Working 1
Astec Powertec 080-25443-004 Super Switcher Power Supply 9K48-23-372-FG Used 3
Square D FAL22020 2-Pole Thermal-Magnetic circuit Breaker 20A Used Working 1
PRI Automation 7500 Box Pod Power Distribution Box Used Working 1
PRI Automation BM2246L04 Horizontal Transfer Frame Missing Parts Used As-Is 1
SMC 02-121427-00 Gate Valve Actuator XGT-0402AWM-X16 Rev. 7 Used Working 1
Nidek S1145-PC2282-A IM-14 Waker Autoloader Motor Assembly IM-11 AP/11 Used 1
Nidek S1145-PC2282-A IM-14 Wafer Autoloader Motor Assembly Missing Sensors As-Is 1
Nidek S1193-PC2419A IM-14 Wafer Autoloader Stage Assembly Olympus OMS324 As-Is 1
ETA Electric ERB24SZ Compact Power Supply Used Working 1
Promac Semiconductor 1252234 Deck Rinse Tank Round Dump SPC 9400 1
Varian E15000101 Multi-Electrode MANIP Servo PCB Board Rev. F E14000101 Used 1
KLA-Tencor 710-678715-00 SAT Backplane Assembly PCB Used Working 4
Varian E15000031 Digital I/O PCB Board E14000031 Rev. K for Repair As-Is 1
Varian E15001650 24 Digital Output PCB Rev. A E14001650 for Repair As-Is 1
Varian E15000710 Load Lock Interface PCB Board E14000710 for Repair As-Is 1
Varian E15001720 Exhaust Leakage Interface PCB Board E14001720 Used Working 1
Varian E15000320 Elevator Control PCB Board Rev. C2 E14000320 for Repair As-Is 1
Millipore RGEN-01 Photoresist Pump RDS TEL Tokyo Electron CT2911-000003R14 Used 17
Millipore RGEN-01 Photoresist Pump RDS TEL Tokyo Electron CT2911-000003R14 Used 3
Millipore RGEN-01 Photoresist Pump RDS TEL Tokyo Electron CT2911-000003R14 Used 15
Millipore RGEN-01 Photoresist Pump RDS TEL CT2911-000003R14 Refurbished 2
Sti 42672-0240 Light Curtain Receiver MS4324BR MiniSafe B MS4300 Used Working 1
Nidek IM-14 Wafer Autoloader Lift Motor Assembly Lot of 2 for Repair As-Is 1
AMAT Applied Materials 0150-09072 Cable Leveling Head Extension New 1
CTI-Cryogenics 8041617G007 Cryopump Pressurized Hose AMAT 3400-01076 Used 1
Kondoh 2980-091564-11 FFU Fan Filter Unit EIC-Y93P280 2T-450900FU-STG-11 Used 2
Numatics VS14-01SA2 Shut-Off Valve Reseller Lot of 24 New 6
Camozzi Pneumatics 6555 04-04 Stem Elbow Reseller Lot of 100 New 2
Camozzi Pneumatics 6555 04-04 Stem Elbow Reseller Lot of 50 New 3
Precision Robotics PA26331 Sealing Band 1010 THK UHMW 100 Foot Roll New 1
Pfeiffer Vacuum PM 006 984 AX Splinter Shield PM006984AX New 1
Pfeiffer Vacuum PF 303 116-T Centering Ring ISO160 19-02526-05B Lot of 2 New 1
Sti 43268-0240 Light Curtain Controller MiniSafe-B MS4324B-2 Used Working 4
AMAT Applied Materials 0150-09087 SUSC CAL Display Power Cable New 1
DuPont AS-568A O-Ring Seal Kalrez Sahara AMAT 3700-00209 Lot of 3 New 1
TEL Tokyo Electron 011-000950-1 Photo Resist Pump F-T100-1 Used Working 1
Pall PHF22GP4H11-T Photo Resist Reservoir Tank CKD TMD002-X8 Used Working 2
IDI 202G-COM Integrated Dispense System Photoresist IDS Controller 3-Card Used 1
IDI Integrated Designs Chemical Dispense Card Rev. 3 IDI 200 PCB Used Working 3
IDI Integrated Designs Dispense Mother Board Rev. 202G IDI 200 Used Working 1
IDI Integrated Designs Dispense Mother Board Rev. B IDI 200 Used Working 2
IDI Integrated Designs Chemical Dispense Card PCB Lot of 2 for Repair As-Is 1
Emerson T20/250V Power Supply PCB IDI 200 Integrated Dispense System Used 3
IDI 202G-COM Integrated Dispense System Photoresist IDS Controller 2-Card Used 1
IDI 201D-COM Integrated Dispense System Photoresist IDS Controller 2-Card Used 1
IDI 202G-COM IDS Controller Photoresist 2-Card Faulty Alarm Used Tested Working 1
IDI 201D IDS Controller Photoresist 3-Card Faulty Card Used Tested Working 1
IDI 202G-COM IDS Controller Photoresist 3-Card Alarm Fault Used Tested Working 1
IDI 201D Integrated Dispense System IDS Controller No Cards Used 1
IDI Integrated Designs 203-MC6L1S IDS Dispenser Photoresist Used Tested Working 2
IDI 203-MC6L1S IDS Dispenser Photoresist 5-Port System Used Tested Working 1
IDI Integrated Designs 203-MC6L1S IDS Dispenser Photoresist No Power As-Is 2
Sti 43268-0160 Light Curtain Controller MiniSafe-B MS4316B-2 Used Working 6
IDI 203-M8L1B-S IDS Dispenser Photoresist Lot of 2 Incomplete Untested As-Is 1
KNF Neuberger 1156-N813.0-300 Pump CMP/ICP400 Lot of 11 for Repair Tested As-Is 1
IDI Integrated Design 203-MC8L1S IDS Dispenser Photoresist Used Tested Working 1
IDI Integrated Design 201-M6L10-S IDS Dispenser Photoresist Used Tested Working 1
Millipore W2501CC01 Photoresist Pump Controller PHOTO-COOL Used Tested Working 1
Millipore SPECIAL Photoresist Pump Controller PHOTO-250 Used Tested Working 1
Leybold 72142075 RCU LCU System Controller 721-42-075 Used Working 1
UNIT Instruments 1300-100132 Pressure Controller UPC-1300 200 SCCM N2 Used 1
Tylan General FC-280SAV Mass Flow Controller MFC 50 SCCM N2 Used Working 1
Tylan General FC-280SAV Mass Flow Controller MFC 200 SCCM N2 Refurbished 1
Tylan General FC-280SAV-4V Mass Flow Controller MFC 50 SCCM N2 Refurbished 1
Leybold 72142079 BRIS BCS Remote Indicator System 721-42-079 Used Working 1
UNIT Instruments UPC-1300 Mass Flow Controller MFC 50 SCCM He Refurbished 2
Granville-Phill�ips 274015 Glass Ion Gauge Tube 274 Vacuum Pressure Gauge New 2
Swagelok 6LV-DA10359P-GR 4-Way Manual Valve New 2
HP Hewlett-Packard 16320-66551 Pin Card PCB B-3152 16320-6651 New 1
HP Hewlett-Packard 16320-66551 Pin Card PCB B-3152 16320-6651 Lot of 10 Used 1
HP Hewlett-Packard 16320-66551 Pin Card PCB B-3152 16320-6651 Lot of 10 Used 1
HP Hewlett-Packard 16320-66501 Pin Card PCB A-2315 HYP Used Working 2
Semy Engineering 5010042 Gas Interface PCB Assembly MYP830400B SVG Mypro New 8
Leybold 72142060 DRIS Dryvac Remote Indicator System 721-42-060 Used Working 1
Semy Engineering MYP821800B Alarms Process Auxiliary Display Panel Used Working 1
Edwards B65301000 Gate Valve Manual Operation GVI 100M ISO100 ISO-F Used Working 1
Edwards C10009670 High Vacuum Flexible Bellows Stainless ISO100 Used Working 1
Semy Engineering 908.00.04 Gas Interface Power Supply New Surplus 7
Ebara ESR200WN Multi-Stage Dry Vacuum Pump ESR Series Tested New Surplus 2
A10S Ebara A10S-S Multi-Stage Dry Vacuum Pump 23529 Hours Used Tested Working 1
Northeast Robotics 501200 Variable Output Power Supply RSVI Used Working 2
Standard Power Supplies CPS 120-S Power Supply 5VDC Used Working 1
Olympus TDO Variable Intensity Microscope Illuminator Transformer Used Working 1
International Power IHDCC-150W Power Supply Used Working 2
CTI-Cryogenics 8033295 8F Cryopump CRYO-TORR High Vacuum Pump Used Working 1
ATMI Packaging PL04KAM1A1-AA-060309 NOWPak Dispenser New Surplus 1
Parker 8V1-B8LJ-SSP-C3 Two Way B-Series Ball Valve Stainless Steel New Surplus 1
Deltron Q5-12.0 Linear DC Power Supply MRC Materials Research 1010210 New 1
Rectus 21KBAW13RVX Quick Coupling Reseller Lot of 10 Parker Hannifin New Surplus 5
Verteq 1069347.3 Matching Transformer 1076701.1.1 Transducer 1:1.20 855 Used 1
Verteq 1069347.3 RF Matching Transformer 1076701.1.1 Transducer 1:1.25 830 Used 1
Verteq 1069347.3 RF Matching Transformer 1076701.1.1 Transducer 1:1.30 855 Used 1
Verteq 1069347.3 RF Matching Transformer 1076701.1.1 Transducer 1:1.40 869 Used 1
Verteq 1073995-18 RF Cable 18 Foot 5.4 Meters Reseller Lot of 6 New Surplus 1
ENI OEM-1250 RF Generator 1250W 13.56MHz Refurbished 1
Keyence FS-L71 Amplifier Unit Load Lock Sensor 02-329390D02 Axcelis 119927 New 1
Whitman Controls P117V-3N-K52L Vacuum Switch Reseller Lot of 3 New Surplus 1
Maxon Motor 137489 DC Motor Reseller Lot of 3 New Surplus 1
Millipore CWUZ 01P 01 Microgard UPX 10" Filter 0.05um Reseller Lot of 4 New 1
Leica Wetzlar 301-360.055 MA-MO Master Modul PCB Card 301-360.055-007/02 Used 1
SVG Silicon Valley Group 165696-004 Temperature Control Harness Assembly New 1
AMAT Applied Materials 0010-09125 Heater Leveling Plate P5000 Precision 5000 New 2
AMAT Applied Materials 3700-01372 Viton 75 DURO Brown O-Ring Lot of 116 New 1
Oerlikon 102211837 Cryo Compressor Water Line 6 Meter Set of 2 Used Working 2
Oerlikon 102093881 Transport or Vacuum Testing Dummy-Target Used Working 3
Simco 4007165 Aerostat Ionizing Air Blower Guardian CR Cleanroom Used Working 3
AMAT Applied Materials 0020-13079 Universal Chamber Output Manifold ASL New 1
Matheson Gas Products PAN-0898-XX Vacuum Pump VACUUM-PUMP-6600 1
KLA Instruments 200-000011-00 Microscope Turret Assembly 655-653668-00 2132 Used 1
Nova Measuring Instruments 210-42000-01 QTH Illumination Assembly w/o Lamp Used 1
Motorola WPLN4111AR Impres Adaptive Charger V3.90 MOTWPLN4111AR New Surplus 1
Process Technology 926432-001 Solid State Relay Retrofit Kit Helios Heater New 1
KLA Instruments 710-658161-20 Image Sensor Assembly 073-655201-00 Used Working 1
OEM Group A29-00003-00 Clear Blank Off Plate AMAT Centura Lot of 2 New Surplus 1
Hamamatsu R1617 Photomultiplier Tube Multialkali Photocathode New Surplus 1
AMAT Applied Materials 0140-78188 Power Control Communication Cable New Surplus 1
Nova Measuring Instruments 210-42000-04-R QTH Illumination Assembly Refurbished 1
A10S Ebara A10S-S Multi-Stage Dry Vacuum Pump 10968 Hours Used Tested Working 1
Beco SBV-24-M Suckback Valve PTFE Male and Female Set of 2 New Surplus 1
AMAT Applied Materials 0020-10014 Lift Ring P5200 Precision 5200 Used Working 1
Hosco V0429D Pressure Switch PM Series PMN 1AV Leybold 20077473 New Surplus 3
Hosco V9716E Pressure Switch PM Series PMN 1AV Leybold 20077473 New Surplus 1
Thermo Fisher 130048-00 Y-Cable Set of 2 for Kevex Omicron XRF New Surplus 1
AMAT Applied Materials 0020-34111 Heater Leveling Plate Precision 5200 New 3
AMAT Applied Materials 1868624 200mm Pre Clean II Kit 0200-00218 0021-54083 New 2
VAT 0300X-CA24-ALL1 Slit Valve AMAT Applied Materials 3870-00467 Refurbished 1
HPS Vacuum 100001687 Lam Research 766-009866-080 NW80 Bellows Assembly Kit New 2
Prisma PPWS Pneumatic Actuator Valve Assembly 1/4" BSP New Surplus 1
AMAT Applied Materials 0021-36689 Heater Leveling Plate TXZ Precision 5200 New 1
UNIT Instruments UFC-8161 Mass Flow Controller AMAT 3030-12413 200 SCCM CL2 Used 1
Tylan FC-2960MEP5 Mass Flow Controller MFC 2900 Series 2 SLPM N2 Refurbished 1
Proteus Industries 91025006S24P2 Flow Meter AMAT 0090-76204 Used Working 11
Cymer 06-02003-00B Blower Motor for ELS-6600 Laser ASML Used Working 1
TCP 600 Pfeiffer PM C01 320 C Turbomolecular Pump Controller Used Tested Working 1
Ryan Herco SC-AB1P00319J-M12-M4-1 10" RHFS Filter Reseller Lot of 15 New Surplus 1
Pall T8122423300 Polypropylene Slurry Filter CMP205-20UV-M3 300 New Surplus 1
AMAT Applied Materials 0020-34112 Reference Plate P5200 Precision 5200 Used 1
Nova Measuring Instruments 210-70090-00 NovaScan 420 Notebook Assembly Used 2
ImperX VCE-B5A01 Flat PCMCIA Frame Grabber Card NovaScan 420 960-08011-00 New 1
Fire Sentry RSD-00098-FD Multi-Spectrum Digital Flame Sensor Digital Ansul New 1
上一页: 库存清单25  下一页: 库存清单23